Active Region Formed Along Groove Or Exposed Edge In Semiconductor Patents (Class 438/337)
  • Patent number: 9761701
    Abstract: A bipolar transistor and a method for fabricating a bipolar transistor are disclosed. In one embodiment the bipolar transistor includes a semiconductor body including a collector region and a base region arranged on top of the collector region, the collector region being doped with dopants of a second doping type and the base region being at least partly doped with dopants of a first doping type and an insulating spacers arranged on top of the base region. The semiconductor body further includes a semiconductor layer including an emitter region arranged on the base region and laterally enclosed by the spacers, the emitter region being doped with dopants of the second doping type forming a pn-junction with the base region, wherein the emitter region is fully located above a horizontal plane through a bottom side of the spacers.
    Type: Grant
    Filed: May 1, 2014
    Date of Patent: September 12, 2017
    Assignee: Infineon Technologies AG
    Inventors: Josef Boeck, Wolfgang Liebl
  • Patent number: 9627516
    Abstract: A bipolar transistor and a method for fabricating a bipolar transistor are disclosed. In one embodiment the bipolar transistor includes a semiconductor body including a collector region and a base region arranged on top of the collector region, the collector region being doped with dopants of a second doping type and the base region being at least partly doped with dopants of a first doping type and an insulating spacers arranged on top of the base region. The semiconductor body further includes a semiconductor layer including an emitter region arranged on the base region and laterally enclosed by the spacers, the emitter region being doped with dopants of the second doping type forming a pn-junction with the base region, wherein the emitter region is fully located above a horizontal plane through a bottom side of the spacers.
    Type: Grant
    Filed: May 1, 2014
    Date of Patent: April 18, 2017
    Assignee: Infineon Technologies AG
    Inventors: Josef Boeck, Wolfgang Liebl
  • Patent number: 8829640
    Abstract: This invention discloses a semiconductor power device disposed in a semiconductor substrate and having an active cell area and an edge termination area the edge termination area wherein the edge termination area comprises a superjunction structure having doped semiconductor columns of alternating conductivity types with a charge imbalance between the doped semiconductor columns to generate a saddle junction electric field in the edge termination.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: September 9, 2014
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Madhur Bobde, Lingpeng Guan, Anup Bhalla, Hamza Yilmaz
  • Publication number: 20130299944
    Abstract: Methods and apparatus for bipolar junction transistors (BJTs) are disclosed. A BJT comprises a collector made of p-type semiconductor material, a base made of n-type well on the collector; and an emitter comprising a p+ region on the base and a SiGe layer on the p+ region. The BJT can be formed by providing a semiconductor substrate comprising a collector, a base on the collector, forming a sacrificial layer on the base, patterning a first photoresist on the sacrificial layer to expose an opening surrounded by a STI within the base; implanting a p-type material through the sacrificial layer into an area of the base, forming a p+ region from the p-type implant; forming a SiGe layer on the etched p+ region to form an emitter. The process can be shared with manufacturing a polysilicon transistor up through the step of patterning a first photoresist on the sacrificial layer.
    Type: Application
    Filed: May 14, 2012
    Publication date: November 14, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jui-Yao Lai, Shyh-Wei Wang, Yen-Ming Chen
  • Patent number: 8415224
    Abstract: A method of fabricating a semiconductor device and a semiconductor device are provided. The method includes method of fabricating a semiconductor device including providing a semiconductor substrate having a first semiconductor device region and a second semiconductor device region defined therein, forming a first gate structure in the first semiconductor device region, forming a second gate structure in the second semiconductor device region, forming a first trench adjacent to a first side of the first gate structure, forming a second trench adjacent to a first side of the second gate structure, and forming a first semiconductor pattern in the first trench and forming a second semiconductor pattern in the second trench, wherein the first and second trenches have different cross-sectional shapes from each other.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: April 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Woo Hyun, Yu-Gyun Shin, Sun-Ghil Lee, Hong-Sik Yoon
  • Publication number: 20130075746
    Abstract: A lateral bipolar transistor includes trench emitter and trench collector regions to form ultra-narrow emitter regions, thereby improving emitter efficiency. The same trench process is used to form the emitter/collector trenches as well as the trench isolation structures so that no additional processing steps are needed to form the trench emitter and collector. In embodiments of the present invention, the trench emitter and trench collector regions may be formed using ion implantation into trenches formed in a semiconductor layer. In other embodiments, the trench emitter and trench collector regions may be formed by out-diffusion of dopants from heavily doped polysilicon filled trenches.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: ALPHA AND OMEGA SEMICONDUCTOR INC.
    Inventors: Shekar Mallikarjunaswamy, François Hébert
  • Patent number: 8333005
    Abstract: A method is disclosed for the fabrication of a tunable radio frequency (RF) power output filter that includes fabricating a core body and then forming a plastically deformable metallic shell over the exterior surface of the core body.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: December 18, 2012
    Inventors: James Thomas LaGrotta, Richard T. LaGrotta
  • Publication number: 20120235280
    Abstract: An integrated circuit includes a bipolar transistor disposed over a substrate. The bipolar transistor includes a base electrode disposed around at least one germanium-containing layer. An emitter electrode is disposed over the at least one germanium-containing layer. At least one isolation structure is disposed between the emitter electrode and the at least one germanium-containing layer. A top surface of the at least one isolation structure is disposed between and electrically isolating a top surface of the emitter electrode from a top surface of the at least one germanium-containing layer.
    Type: Application
    Filed: March 14, 2011
    Publication date: September 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Tung HUANG, Chun-Tsung KUO, Shih-Chang LIU, Yeur-Luen TU
  • Patent number: 8183120
    Abstract: One or more embodiments relate to a method, comprising forming an implant on a substrate surface; selectively etching the wafer surface to form an elongated fin including portion of the implant; forming collector/emitter regions adjacent opposing ends of the fin; and forming a base region intermediate the collector/emitter regions.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: May 22, 2012
    Assignee: Infineon Technologies AG
    Inventors: Ronald Kakoschke, Klaus Schruefer
  • Patent number: 8043924
    Abstract: In a method of forming a phase-change memory unit, a conductive layer is formed on a substrate having a trench. The conductive layer is planarized until the substrate is exposed to form a first electrode. A spacer partially covering the first electrode is formed. A phase-change material layer is formed on the first electrode and the second spacer. A second electrode is formed on the phase-change material layer. Reset/set currents of the phase-change memory unit may be reduced and deterioration of the phase-change material layer may be reduced and/or prevented.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: October 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Chang Ryoo, Hong-Sik Jeong, Gi-Tae Jeong, Jung-Hoon Park, Yoon-Jong Song
  • Patent number: 8039898
    Abstract: An embodiment of a process for manufacturing a semiconductor power device envisages the steps of: providing a body made of semiconductor material having a first top surface; forming an active region with a first type of conductivity in the proximity of the first top surface and inside an active portion of the body; and forming an edge-termination structure. The edge-termination structure is formed by: a ring region having the first type of conductivity and a first doping level, set within a peripheral edge portion of the body and electrically coupled to the active region; and a guard region, having the first type of conductivity and a second doping level, higher than the first doping level, set in the proximity of the first top surface and connecting the active region to the ring region.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: October 18, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Mario Giuseppe Saggio, Domenico Murabito, Ferruccio Frisina
  • Patent number: 7943993
    Abstract: A trench-gate field effect transistor includes trenches extending into a silicon region of a first conductivity type, and a gate electrodes in each trench. Body regions of second conductivity type extend over the silicon region between adjacent trenches. Each body region forms a PN junction with the silicon region. A gate dielectric layer lines at least upper sidewalls of each trench, and insulates the gate electrode from the body region. Source regions of the first conductivity flank the trenches. A silicon-germanium region vertically extends through each source region and through a corresponding body region, and terminates within the corresponding body region before reaching the PN junction.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: May 17, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: James Pan, Qi Wang
  • Publication number: 20110053331
    Abstract: This document discusses, among other things, apparatus having at least one CMOS transistor overlying a substrate; and at least one finned bipolar transistor overlying the substrate and methods for making the apparatus.
    Type: Application
    Filed: November 10, 2010
    Publication date: March 3, 2011
    Inventors: Ronald KAKOSCHKE, Klaus SCHRÜFER
  • Patent number: 7640647
    Abstract: Projecting elongate stub walls are provided on the planar surfaces of a substrate at positions where bonding of the substrate to a clamping lid or base is to be carried out. On firing of the substrate, the surfaces thereof are mechanically processed but since the stub walls protrude from the substrate, the grinding and polishing tools make contact with the surfaces of these stub walls, rather than with the entire substrate surface. As a result, the area of the substrate to be processed is minimised and problems with dishing and erosion are alleviated. This allows the clamping lid, or frame to be bonded, using conventional conductive adhesive processes, avoiding the cracking and stress problems associated with non-uniformity of the surface of the ceramic substrates.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: January 5, 2010
    Assignee: Astrium Limited
    Inventor: Simon Leonard Rumer
  • Patent number: 7622357
    Abstract: The present invention relates to a device structure that comprises a substrate with front and back surfaces, and at least one semiconductor device with a first conductive structure located in the substrate and a second conductive structure located thereover. A first conductive contact is located over the front surface of the substrate and laterally offset from the first conductive structure. The first conductive contact is electrically connected to the first conductive structure by a conductive path that extends: (1) from the first conductive structure through the substrate to the back surface, (2) across the back surface, and (3) from the back surface through the substrate to the first conductive contact on the front surface. Further, a second conductive contact is located over the front surface and is electrically connected to the second conductive structure. The conductive path can be formed by lithography and etching followed by metal deposition.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: November 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kunal Vaed, Jae-Sung Rieh, Richard P. Volant, Francois Pagette
  • Patent number: 7615391
    Abstract: A method of fabricating a solar cell forms a large number of grooves on a first main surface of a p-type silicon single crystal substrate sliced out from a silicon single crystal ingot as described below. First an edge portion of a groove-carving blade is projected out from a flat substrate feeding surface of a working table by a predetermined height. The p-type silicon single crystal substrate is moved along the substrate feeding surface towards the rotating groove-carving blade while keeping a close contact of the first main surface thereof with the substrate feeding surface. Electrodes are then formed on the inner side face of thus-carved grooves only on one side in the width-wise direction thereof.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: November 10, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoyuki Ojima, Hiroyuki Ohtsuka, Masatoshi Takahashi, Takenori Watabe
  • Patent number: 7615455
    Abstract: A bipolar transistor having a base region resting by its lower surface on a collector region and surrounded with a first insulating layer, a base contact conductive region in contact with an external upper peripheral region of the base region, a second insulating region in contact with an intermediary upper peripheral region of the base region, an emitter region in contact with the central portion of the base region. The level of the central portion is higher than the level of the intermediary portion.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: November 10, 2009
    Assignee: STMicroelectronics S.A.
    Inventors: Pascal Chevalier, Alain Chantre
  • Patent number: 7563698
    Abstract: Method for manufacturing a semiconductor device including a transistor having a grooved gate structure and a transistor having a planar gate structure on the same substrate, in which, even when the semiconductor device is configured as a dual gate structure in which a gate electrode structure is a poly-metal gate structure, and a grooved gate and a planar gate are made in different conductivity types, then sufficient dopant is injected into polysilicon in the grooved gate to prevent depletion, and impurity ions do not pass through a gate insulating film even when the planar gate is formed also polysilicon having the same film thickness. The method includes: injecting ions into an amorphous silicon layer for the grooved gate; subsequently, turning it into polysilicon once; injecting ions once again to amorphousize a surface layer of the polysilicon layer and injecting ions of a different conductivity type for the planar gate.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: July 21, 2009
    Assignee: Elpida Memory Inc.
    Inventor: Tetsuya Taguwa
  • Patent number: 7494887
    Abstract: A method for fabricating heterojunction bipolar transistors that exhibit simultaneous low base resistance and short base transit times, which translate into semiconductor devices with low power consumption and fast switching times, is presented. The method comprises acts for fabricating a set of extrinsic layers by depositing a highly-doped p+ layer on a substrate, depositing a masking layer on highly-doped p+ layer, patterning the masking layer with a masking opening, removing a portion of the highly-doped p+ layer and the substrate through the masking opening in the masking layer to form a well, and growing an intrinsic layered device in the well by a combination of insitu etching and epitaxial regrowth, where an intrinsic layer has a thickness selected independently from a thickness of its corresponding extrinsic layer, thus allowing the resulting device to have thick extrinsic base layer (low base resistance) and thin intrinsic base layer (short base transit times) simultaneously.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: February 24, 2009
    Assignee: HRL Laboratories, LLC
    Inventor: Tahir Hussain
  • Patent number: 7151035
    Abstract: A sidewall-insulation film 9 is provided on a side surface of a first opening portion 8a formed in a base extraction electrode 5B of a hetero-junction bipolar transistor, and a portion of the sidewall-insulation film 9 extends so as to protrude from a surface opposite to a semiconductor substrate 1 toward a main surface of the semiconductor substrate 1 in the base extraction electrode 5B, and protruded length thereof is set to be equal to or smaller than one half of thickness of the insulation film 4 interposed between the main surface of the semiconductor substrate 1 and a lower surface of the base extraction electrode 5B.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: December 19, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Makoto Koshimizu, Yasuaki Kagotoshi, Nobuo Machida
  • Patent number: 7118982
    Abstract: An emitter includes an electron source and a cathode. The cathode has an emissive surface. The emitter further includes a continuous anisotropic conductivity layer disposed between the electron source and the emissive surface of the cathode. The anisotropic conductivity layer has an anisotropic sheet resistivity profile and provides for substantially uniform emissions over the emissive surface of the emitter.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: October 10, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Alexander Govyadinov, Michael J. Regan
  • Patent number: 7087925
    Abstract: In one embodiment, a matrix of free-standing semiconductor shapes are oxidized to form a low capacitance isolation tub. The adjacent rows of shapes in the matrix are offset with respect to each to minimize air gap and void formation during tub formation. In a further embodiment, the spacing between adjacent rows is less than the spacing between shapes within a row.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: August 8, 2006
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 6894362
    Abstract: Disclosed is a manufacturing method to fabricate Heterojunction Bipolar Transistors (HBTs) that enables self-alignment of emitter and base metal contact layers with precise sub-micron spacing using a dielectric-assisted metal lift-off process. Such an HBT process relies on the formation of an “H-shaped” dielectric (i.e., Si3N4/SiO2) mask conformally deposited on top of the emitter contact metallization that is used to remove excess base metal through lift-off by a wet chemical HF-based etch. This HBT process also uses a thin selective etch-stop layer buried within the emitter layer to prevent wet chemical over-etching to the base and improves HBT reliability by forming a non-conducting, depleted ledge above the extrinsic base layer. The geometry of the self-aligned emitter and base metal contacts in the HBT insures conformal coverage of dielectric encapsulation films, preferably Si3N4 and/or SiO2, for reliable HBT emitter p-n junction passivation.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: May 17, 2005
    Inventor: Roger J. Malik
  • Patent number: 6787404
    Abstract: A method of forming a double-gated transistor comprising the following sequential steps. A substrate having an SOI structure formed thereover is provided. The SOI structure including a lower SOI oxide layer and an upper SOI silicon layer. The SOI silicon layer is patterned to form a patterned SOI silicon layer including a source region and a drain region connected by a channel portion. An encasing oxide layer is formed over the patterned SOI silicon layer to form an encased patterned SOI silicon layer. A patterned dummy layer is formed over the encased patterned SOI silicon layer. The patterned dummy layer having an opening, with exposed side walls, exposing: the channel portion of the encased patterned SOI silicon layer; and portions of the upper surface of the SOI oxide layer. Offset spacers are over the exposed side walls of the patterned dummy layer opening.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: September 7, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yong Meng Lee, Da Jin, David Vigar
  • Patent number: 6656810
    Abstract: There is provided a semiconductor device capable of reducing dispersion in electrical characteristics, preventing occurrence of bridge shortcircuit in a silicide process and operating at high operating speed and method for fabricating the same. In a SOI substrate obtained by forming an insulating layer 2 and a SOI layer 3 on a silicon substrate 1, there are formed a channel region 19, an LDD region 15a and source and drain junction regions 17 and 18 in the SOI layer 3. A gate electrode 14 whose both side walls have a shape roughly perpendicular to the SOI substrate is formed via a gate insulating film on the channel region 19. An oxide film spacer 16 is formed on the LDD region 15a on both side wall sides of the gate electrode 14.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: December 2, 2003
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yasumori Fukushima
  • Patent number: 6436780
    Abstract: A number of npn and pnp bipolar transistors are formed in a single chip of silicon, so that some of the transistors have a greater frequency response than others The higher frequency transistors have their emitters located closer to the collectors, by positioning a collector, or emitter, of a transistor in a recessed portion of the surface of the chip. The recess is formed in an accurate and controlled manner by locally oxidising the silicon surface, and subsequently removing the oxide to leave the recess.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: August 20, 2002
    Assignee: Mitel Semiconductor Limited
    Inventors: Peter H Osborne, Martin C Wilson
  • Patent number: 6368946
    Abstract: A method of manufacturing a semiconductor device with an epitaxial semiconductor zone, whereby a first layer of insulating material, a first layer of non-monocrystalline silicon, and a second layer of insulating material are provided in that order on a surface of a silicon wafer, a window with a steep wall is etched through the second layer of insulating material and the first layer of non-monocrystalline silicon, the wall of the window is provided with a protective layer, the first insulating layer is selectively etched away within the window and below an edge of the first layer of non-monocrystalline silicon adjoining the window such that both the edge of the first layer of non-monocrystalline silicon itself and the surface of the wafer become exposed within the window and below said edge, semiconductor material is selectively deposited such that the epitaxial semiconductor zone is formed on the exposed surface of the wafer, and an edge of polycrystalline semiconductor material connected to the epitaxi
    Type: Grant
    Filed: March 24, 1997
    Date of Patent: April 9, 2002
    Assignee: U.S. Phillips Corporation
    Inventors: Ronald Dekker, Cornelis E. Timmering, Doede Terpstra, Wiebe B. De Boer
  • Patent number: 6346485
    Abstract: A method of processing a semiconductor wafer sliced from a monocrystalline ingot comprises at least the steps of chamfering, lapping, etching, mirror-polishing, and cleaning. In the etching step, alkali etching is first performed and then acid etching, preferably reaction-controlled acid etching, is performed. The etching amount of the alkali etching is greater than the etching amount of the acid etching. Alternatively, in the etching step, reaction-controlled acid etching is first performed and then diffusion-controlled acid etching is performed. The etching amount of the reaction-controlled acid etching is greater than the etching amount of the diffusion-controlled acid etching.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: February 12, 2002
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Takashi Nihonmatsu, Seiichi Miyazaki, Masahiko Yoshida, Hideo Kudo, Tadahiro Kato
  • Patent number: 6340612
    Abstract: A circuit and method for an improved inverter is provided. The present invention capitalizes on a switched source impedance to prevent subthreshold leakage current at standby in low voltage CMOS circuits. The switched source impedance is provided by body contacted and backgated transistors. The gate and body of the transistors are biased to modify the threshold voltage of the transistors (Vt). This design provides fast switching capability for low power battery operated CMOS circuits and systems. The transistor structures offer the performance advantages from both metal-oxide semiconductor (MOS) and bipolar junction transistor (BJT) designs. The devices can be used in a variety of applications, digital and analog, wherever a more compact structure with low power consumption and fast response time is needed.
    Type: Grant
    Filed: August 29, 2000
    Date of Patent: January 22, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Wendell P. Noble, Leonard Forbes
  • Patent number: 6337251
    Abstract: In a method of manufacturing a semiconductor device, a first insulating film is formed on a semiconductor substrate, a first conductive film is formed on the first insulating film, and a second insulating film is formed on the first conductive film. An opening is formed to the semiconductor substrate through the second insulting film, the first conductive film and the first insulting film to expose a portion of a surface of the semiconductor substrate and a portion of a surface of the first conductive film. The exposed surface portion of the first conductive film is covered by a covering film. Thermal treatment is carried out to clean the exposed surface portion of the semiconductor substrate. A spacer film is formed in the opening on the exposed surface portion of the semiconductor substrate, and then the covering film is removed. Subsequently, an electrode film is formed on the spacer film.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: January 8, 2002
    Assignee: NEC Corporation
    Inventor: Takasuke Hashimoto
  • Patent number: 6255184
    Abstract: A process for fabricating a bipolar junction transistor, featuring an N type, polysilicon emitter structure, located in an emitter trench, and featuring a narrow width. P type base region, located directly underlying an N type, emitter region, which is formed in the semiconductor substrate, along the vertical and horizontal sides of the emitter trench, has been developed. The process features forming an emitter trench in a semiconductor substrate, followed by a large angle ion implantation procedure, used to form a P type, base region, in an area of the semiconductor substrate located along the sides of the emitter trench. Formation of a polysilicon emitter structure, followed by an anneal cycle, create a narrow width, emitter region, underlying the polysilicon emitter structure, also resulting in the formation of a narrow width, P type base region, located between the overlying N type emitter region, and an underlying N type, epitaxial silicon layer.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: July 3, 2001
    Assignee: Episil Technologies, Inc.
    Inventor: Ching-Tzong Sune
  • Patent number: 6248639
    Abstract: A circuit protects against electrostatic discharge and includes a pad which receives an external signal source. The transistor of the present invention is connected to the circuit to be protected and includes a semiconductor body of a first conductivity type and serves as the collector of the transistor and is connected to the pad. A first doped region of a second conductivity type is contained in the semiconductor body and serves as the base of the transistor and forms a collector-to-base junction surface with the semiconductor body. A second doped region of the first conductivity type is contained in the first doped region and serves as the emitter of the transistor and forms a base-to-emitter junction surface with the first doped region. The first and second doped regions are electrically connected for establishing a shorted connection between the base and emitter.
    Type: Grant
    Filed: April 9, 1999
    Date of Patent: June 19, 2001
    Assignee: SGS-Thomson Microelectronics S.r.l.
    Inventor: Enrico M. A. Ravanelli
  • Patent number: 6245615
    Abstract: Improved methods and structures are provided that are lateral to surfaces with a (110) crystal plane orientation such that an electrical current of such structures is conducted in the <110> direction. Advantageously, improvements in hole carrier mobility of approximately 50% can be obtained by orienting the structure's channel in a (110) plane such that the electrical current flow is in the <110> direction. Moreover, these improved methods and structures can be used in conjunction with existing fabrication and processing techniques with minimal or no added complexity. Embodiments of a method of forming an integrated circuit include forming a trench in a silicon wafer. A trench wall of the trench has a (110) crystal plane orientation. A semiconductor device is also formed lateral to the trench wall such that the semiconductor device is capable of conducting an electrical current in a <110> direction. One method of the present invention provides for forming an integrated circuit.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: June 12, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Wendell P. Noble, Leonard Forbes, Alan R. Reinberg
  • Patent number: 6136701
    Abstract: A contact structure of a semiconductor device includes an impurity-doped region formed in the semiconductor substrate, a trench having a groove in the semiconductor substrate, with the groove being in contact with at least one side face of the impurity-doped region, a conductive layer buried in the trench, and a contact region formed on at least one side face of the impurity-doped region, for connecting the impurity-doped region and the conductive layer. Thus, the area occupied by a unit cell is reduced and integration density can be increased accordingly.
    Type: Grant
    Filed: December 31, 1997
    Date of Patent: October 24, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Heon-jong Shin
  • Patent number: 6110799
    Abstract: A trench process for establishing a contact for a semiconductor device with trenches such as the trench and planar MOSFETs (UMOS), trench and planar IGBTs and trench MCTs which reduces the number of masks and eliminates the need for lateral diffusion into the trench channel region. Improved control of the parasitic transistor in the trench MOSFET is also achieved. The cell size/pitch is reduced relative to conventional processes which require source block and P+ masks.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: August 29, 2000
    Assignee: Intersil Corporation
    Inventor: Qin Huang
  • Patent number: 5786258
    Abstract: A lateral bipolar transistor capable of forming a narrow-sized diffusion region, such as a base width, is disclosed. The transistor exhibits no scattering in the direction of the depth of the width of the diffusion region. Emitter resistance is reduced by varying an impurity diffusion source at substantially a uniform concentration in a semiconductor portion and forming a diffusion region by diffusion from the impurity diffusion source. The bipolar transistor has an SOI structure. A method of making such device is also disclosed.
    Type: Grant
    Filed: January 23, 1997
    Date of Patent: July 28, 1998
    Assignee: Sony Corporation
    Inventors: Hiroyuki Miwa, Takayuki Gomi, Katsuyuki Kato
  • Patent number: RE36777
    Abstract: An apparatus and method for integrating a submicron CMOS device and a non-volatile memory, wherein a thermal oxide layer is formed over a semiconductor substrate and a two layered polysilicon non-volatile memory device formed thereon. A portion of the thermal oxide is removed by etching, a thin gate oxide and a third layer of polysilicon having a submicron depth is deposited onto the etched region. The layer of polysilicon is used as the gate for the submicron CMOS device. In so doing a submicron CMOS device may be formed without subjecting the device to the significant re-oxidation required in formation processes for dual poly non-volatile memory devices such as EPROMs and EEPROMs, and separate device optimization is achieved.
    Type: Grant
    Filed: October 7, 1998
    Date of Patent: July 11, 2000
    Assignee: Atmel Corporation
    Inventors: Bradley J. Larsen, Todd A. Randazzo, Donald A. Erickson