Sidewall Base Contact Patents (Class 438/348)
  • Patent number: 11018188
    Abstract: A method for manufacturing a semiconductor memory device includes forming a first doped semiconductor layer on a conductive layer, forming a second doped semiconductor layer stacked on the first doped semiconductor layer, forming a third doped semiconductor layer stacked on the second doped semiconductor layer, and forming a memory stack layer on the third doped semiconductor layer. The memory stack layer and the first, second and third doped semiconductor layers are patterned into a plurality of pillars spaced apart from each other. In the method, a plurality of extrinsic base layers are formed adjacent the patterned second doped semiconductor layers. The patterned first, second and third doped semiconductor layers in each pillar of the plurality of pillars are components of a bipolar junction transistor device, and the plurality of pillars are parts of a memory cell array having a cross-point structure.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: May 25, 2021
    Assignee: International Business Machines Corporation
    Inventors: Alexander Reznicek, Bahman Hekmatshoartabari, Tak H. Ning
  • Patent number: 11011537
    Abstract: An apparatus including an array of at least two vertically stacked layers of integrated circuit device components separated by a dielectric layer on a substrate, wherein each of the at least two vertically stacked layers includes a laterally disposed contact point; and an electrically conductive interconnection coupled to a lateral edge of the contact point of each of the at least two vertically stacked layers and bridging the dielectric layer. A method including forming an array of at least two vertically stacked layers of integrated circuit device components separated by a dielectric layer on a substrate, forming a trench that exposes a lateral contact point of each of the at least two vertically stacked layers; depositing a polymer in the trench, wherein the polymer preferentially aligns to a material of the lateral contact point and bridges the dielectric layer; and modifying or replacing the polymer with an electrically conductive material.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: May 18, 2021
    Assignee: Intel Corporation
    Inventors: Aaron D. Lilak, Patrick Theofanis, Patrick Morrow, Rishabh Mehandru, Stephen M. Cea
  • Patent number: 8999804
    Abstract: Fabrication methods for a bipolar junction transistor. A semiconductor material layer is formed on a substrate and a mask layer is formed on the semiconductor material layer. The mask layer is patterned to form a plurality of openings to the semiconductor material layer. After the mask layer is formed and patterned, the semiconductor material layer is etched at respective locations of the openings to define a first trench, a second trench separated from the first trench by a first section of the semiconductor material layer defining a terminal of the bipolar junction transistor, and a third trench separated from the first trench by a second section of the semiconductor material layer defining an isolation pedestal. A trench isolation region is formed at a location in the substrate that is determined at least in part using the isolation pedestal as a positional reference.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventor: Qizhi Liu
  • Patent number: 8901720
    Abstract: A method of forming multiple conductive structures in a semiconductor device includes forming spacers adjacent side surfaces of a mask, where the mask and the spacers are formed on a conductive layer. The method also includes etching at least one trench in a portion of the conductive layer not covered by the spacers or the mask. The method may further include depositing a material over the semiconductor device, removing the mask and etching the conductive layer to remove portions of the conductive layer not covered by the spacers or the material, where remaining portions of the conductive layer form the conductive structures.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: December 2, 2014
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Michael Brennan, Scott Bell
  • Patent number: 8865526
    Abstract: A semiconductor device mountable to a substrate is provided. The device includes a semiconductor package having at least one semiconductor die, an electrically conductive attachment region, and a packaging material in which is embedded the semiconductor die and a first portion of the electrically conductive attachment region contacting the die. A metallic shell encloses the embedded semiconductor die and the first portion of the electrically conductive attachment region.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: October 21, 2014
    Assignee: Vishay General Semiconductor LLC
    Inventors: Ta-Te Chou, Yong-Qi Tian, Xian Li
  • Patent number: 8722502
    Abstract: A method of manufacturing a semiconductor device, includes forming a trench surrounding a first area of a semiconductor substrate, the trench having a bottom surface and two side surfaces being opposite to each other, forming a silicon film on the bottom surface and side surfaces of the trench, forming an insulation film on the silicon film in the trench, grinding a bottom surface of the semiconductor substrate to expose the insulation film formed over the bottom surface of the trench, and forming a through electrode in the first area after grinding the bottom surface of the semiconductor substrate, the through electrode penetrating the semiconductor substrate.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: May 13, 2014
    Inventor: Shiro Uchiyama
  • Patent number: 8664698
    Abstract: High frequency performance of (e.g., silicon) bipolar devices is improved by reducing the extrinsic base resistance Rbx. An emitter, intrinsic base and collector are formed in a semiconductor body. An emitter contact has a region that overlaps a portion of an extrinsic base contact. A sidewall is formed in the extrinsic base contact proximate a lateral edge of the overlap region of the emitter contact. The sidewall is amorphized during or after formation so that when the emitter contact and the extrinsic base contact are, e.g., silicided, some of the metal atoms forming the silicide penetrate into the sidewall so that part of the highly conductive silicided extrinsic base contact extends under the edge of the overlap region of the emitter contact closer to the intrinsic base, thereby reducing Rbx. Smaller Rbx provides transistors with higher fMAX.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: March 4, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jay P. John, James A. Kirchgessner, Vishal P. Trivedi
  • Patent number: 8501572
    Abstract: The present disclosure provides a bipolar junction transistor (BJT) device and methods for manufacturing the BJT device. In an embodiment, the BJT device includes: a semiconductor substrate having a collector region, and a material layer disposed over the semiconductor layer. The material layer has a trench therein that exposes a portion of the collector region. A base structure, spacers, and emitter structure are disposed within the trench of the material layer. Each spacer has a top width and a bottom width, the top width being substantially equal to the bottom width.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 6, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Tsung Kuo, Shih-Chang Liu, Chia-Shiung Tsai
  • Patent number: 8470679
    Abstract: A semiconductor device includes a buried layer and a deep contact for providing a low resistive connection to the buried layer. The deep contact is formed by doped polycrystalline silicon. A method of manufacturing a semiconductor device and a deep contact for providing a low resistive connection to the buried layer, with the steps of forming a buried layer, providing an active region adjacent the buried layer and forming a deep contact for providing a low resistive connection to the buried layer by patterning a contact shape for the deep contact on an upper surface of the active region, removing part of the active region underneath the contact shape to create a deep contact cavity. Subsequently a polycrystalline silicon layer for filling the deep contact cavity is deposited and doped.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: June 25, 2013
    Assignee: Texas Instruments Deutschland GmbH
    Inventor: Alfred Haeusler
  • Patent number: 8409959
    Abstract: Methods, devices, and systems for using and forming vertically base-connected bipolar transistors have been shown. The vertically base-connected bipolar transistors in the embodiments of the present disclosure are formed with a CMOS fabrication technique that decreases the transistor size while maintaining the high performance characteristics of a bipolar transistor.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: April 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Badih El-Kareh, Leonard Forbes, Kie Y. Ahn
  • Patent number: 8404552
    Abstract: A microscopic spectrum apparatus for connecting to an image capturing module which is used for converting external image light into electrical signal is disclosed. The microscopic spectrum apparatus includes a microscopic lens module, a spectrum analyzing module and a light beam splitter. The microscopic lens module is used for collecting the external image light to the image capturing module and magnifying the external image. The spectrum analyzing module is arranged at a side of the microscopic lens module. The light beam splitter is arranged between the microscopic lens module and the image capturing module, and is used for directing part of the external image light from the microscopic lens module to the spectrum analyzing module. In addition, a microscopic spectrum apparatus with image capturing capability is also disclosed.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: March 26, 2013
    Assignee: Lumos Technology Co., Ltd.
    Inventor: Chih-Yi Yang
  • Patent number: 8309416
    Abstract: A semiconductor device with reduced resistance of a buried bit line, and a method for fabricating the same. The method for fabricating a semiconductor device includes etching a semiconductor substrate to form a plurality of active regions which are separated from one another by trenches formed in between, forming a side contact on a sidewall of each active region, and forming metal bit lines, each filling a portion of a respective trench and connected to the side contact.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: November 13, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Eun-Shil Park, Yong-Seok Eun, Kee-Jeung Lee, Min-Soo Kim
  • Publication number: 20120199881
    Abstract: High frequency performance of (e.g., silicon) bipolar devices (100) is improved by reducing the extrinsic base resistance Rbx. Emitter (160), intrinsic base (161, 163) and collector (190) are formed in a semiconductor body (115). An emitter contact (154) has a region (1541) that overlaps a portion (1293, 1293?) of an extrinsic base contact (129). A sidewall (1294) is formed in the extrinsic base contact (129) proximate a lateral edge (1543) of the overlap region (1541) of the emitter contact (154). The sidewall (1294) is amorphized during or after formation so that when the emitter contact (154) and the extrinsic base contact (129) are, e.g., silicided, some of the metal atoms forming the silicide penetrate into the sidewall (1294) so that part (183) of the highly conductive silicided extrinsic base contact (182, 183) extends under the edge (1543) of the overlap region (1541) of the emitter contact (154) closer to the intrinsic base (161, 163), thereby reducing Rbx.
    Type: Application
    Filed: February 9, 2011
    Publication date: August 9, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Jay P. John, James A. Kirchgessner, Vishal P. Trivedi
  • Patent number: 8227889
    Abstract: A semiconductor device with a TSV and a shelter is provided. The semiconductor device includes a substrate, a circuit area, at least a TSV and a shelter. The circuit area and the TSV are disposed on the substrate, and the TSV penetrates through the substrate. The shelter is disposed on the substrate and at least one part thereof is between the circuit area and the TSV in order to shelter EMI between the TSV and the circuit area. The novel structure prevents the circuits in the circuit area being affected by noise caused by TSV when TSV acts as a power pin.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: July 24, 2012
    Assignee: United Microelectronics Corp.
    Inventor: Chien-Li Kuo
  • Patent number: 8084314
    Abstract: A first insulation film is provided on a semiconductor substrate. A high resistance element formed from polysilicon is provided on the first insulation film. A second insulation film is provided on the high resistance element. A hydrogen diffusion preventing film having a hydrogen diffusion coefficient smaller than that of the second insulation film is provided on the second insulation film. The hydrogen diffusion preventing film covers a part of the high resistance element.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: December 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Hidenori Iwadate, Takeshi Kobiki
  • Patent number: 7943470
    Abstract: The semiconductor device according to the present invention includes a through electrode that penetrates through a silicon substrate, an isolation trench provided to penetrate through the silicon substrate to surround the through electrode, a silicon film in contact with an inner surface of the isolation trench, a silicon film in contact with an outer surface of the isolation trench, and an insulation film provided between the silicon films. According to the present invention, the silicon film within the isolation trench can be substantially regarded as a part of the silicon substrate. Therefore, even when the width of the isolation trench is increased to increase the etching rate, the width of the insulation film becoming a dead space can be made sufficiently small. Consequently, the chip area can be decreased.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: May 17, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Shiro Uchiyama
  • Patent number: 7868413
    Abstract: It is an object of the present invention to surely protect a predetermined semiconductor element or a predetermined semiconductor element group in an analog block from a noise generated from a digital block. A semiconductor device according to the present invention includes a semiconductor substrate, a digital block to be a region in which a digital circuit is formed and an analog block to be a region in which an analog circuit is formed, arranged by separating an upper surface of the semiconductor substrate and a substrate potential fixing region provided on the semiconductor substrate so as to surround in a planar view the predetermined semiconductor element group in the analog block, and a pad connected to the substrate potential fixing region and receiving a predetermined potential from an external part.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: January 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Takashi Okuda, Toshio Kumamoto
  • Patent number: 7615841
    Abstract: A semiconductor structure for preventing coupling noise in integrated circuits and a method of forming the same are provided. The semiconductor structure includes a signal-grounded seal ring. The seal ring includes a plurality of metal lines, each in a respective metal layer and surrounding a circuit region of the semiconductor chip, a plurality of vias connecting respective metal lines, and a plurality of dielectric layers isolating each metal layer from any other metal layers. The seal ring may further include additional seal rings formed inside or outside the seal ring. The semiconductor structure may include laser fuses and protective rings. The protective rings are preferably signal grounded. Cross talk between sub circuits in a chip can be reduced by forming a seal ring extension between the sub circuits.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: November 10, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Wei Chen, Hsueh-Chung Chen
  • Patent number: 7397070
    Abstract: In one embodiment, a transistor is formed to use two conductors to make electrical connection to one of the active regions of the transistor.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: July 8, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 7358131
    Abstract: The invention includes SRAM constructions comprising at least one transistor device having an active region extending into a crystalline layer comprising Si/Ge. A majority of the active region within the crystalline layer is within a single crystal of the crystalline layer, and in particular aspects an entirety of the active region within the crystalline layer is within a single crystal of the crystalline layer. The SRAM constructions can be formed in semiconductor on insulator assemblies, and such assemblies can be supported by a diverse range of substrates, including, for example, glass, semiconductor substrates, metal, insulative materials, and plastics. The invention also includes electronic systems comprising SRAM constructions.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7300850
    Abstract: In one embodiment, a transistor is formed to use two conductors to make electrical connection to one of the active regions of the transistor.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: November 27, 2007
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 7180159
    Abstract: A bipolar transistor in a monocrystalline semiconductor substrate (101), which has a first conductivity type and includes a surface layer (102) of the opposite conductivity type. The transistor comprises an emitter contact (110) on the surface layer; a base contact (130 and 131) extending through a substantial portion (141) of the surface layer, spaced apart (140a) from the emitter; an insulator region (150/151) buried under the base contact; a collector contact (120); and a first polycrystalline semiconductor region (152/153) selectively located under the insulator region, and a second polycrystalline semiconductor region (154) selectively located under the collector contact. These polycrystalline regions exhibit heavy dopant concentrations of the first conductivity type; consequently, they lower the collector resistance.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: February 20, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Gregory E. Howard
  • Patent number: 7151035
    Abstract: A sidewall-insulation film 9 is provided on a side surface of a first opening portion 8a formed in a base extraction electrode 5B of a hetero-junction bipolar transistor, and a portion of the sidewall-insulation film 9 extends so as to protrude from a surface opposite to a semiconductor substrate 1 toward a main surface of the semiconductor substrate 1 in the base extraction electrode 5B, and protruded length thereof is set to be equal to or smaller than one half of thickness of the insulation film 4 interposed between the main surface of the semiconductor substrate 1 and a lower surface of the base extraction electrode 5B.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: December 19, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Makoto Koshimizu, Yasuaki Kagotoshi, Nobuo Machida
  • Patent number: 7087959
    Abstract: An MOS device includes a semiconductor layer formed on a substrate, the substrate defining a horizontal plane and a vertical direction normal to the horizontal plane. First and second source/drain regions are formed in the semiconductor layer proximate an upper surface of the semiconductor layer, the first and second source/drain regions being spaced apart relative to one another. A gate is formed proximate the upper surface of the semiconductor layer and disposed at least partially between the first and second source/drain regions. A first dielectric region is formed in the MOS device, the first dielectric region defining a trench extending downward from the upper surface of the semiconductor layer to a first distance into the semiconductor layer, the first dielectric region being formed between the first and second source/drain regions.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: August 8, 2006
    Assignee: Agere Systems Inc.
    Inventors: Muhammed Ayman Shibib, Shuming Xu
  • Patent number: 7005723
    Abstract: In a method of producing a bipolar transistor, a semiconductor substrate having a substrate surface is provided. A base-terminal layer for providing a base terminal is formed on the substrate surface, and an emitter window having a wall area is formed in the base-terminal layer. A first spacing layer is formed on the wall area of the emitter contact window, and a recess is etched into the substrate within a window specified by the first spacing layer. A base layer contacted by outdiffusion from the base-terminal layer is formed in the recess of the emitter window, and a second spacing layer is formed on the first spacing layer and on the base layer. The second spacing layer is structured for the purpose of specifying a planar terminal pad on the base layer, and an emitter layer is formed on the planar terminal pad.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: February 28, 2006
    Assignee: Infineon Technologies AG
    Inventors: Armin Tilke, Kristin Schupke
  • Patent number: 6943428
    Abstract: A semiconductor device and a method for manufacturing the device using a semiconductor substrate of a high resistance with improved Q value of a passive circuit element. Leakage current due to an impurity fluctuation, in the high resistance semiconductor substrate and noise resistance of an active element in the high resistance semiconductor substrate are improved. The semiconductor device includes a bipolar transistor at a main surface of and in the semiconductor substrate. The bipolar transistor includes a semiconductor layer of a first conductivity type at a bottom portion of the bipolar transistor and the semiconductor device includes a buried layer of a second conductivity type, located in the semiconductor substrate and facing the semiconductor layer of the first conductivity type.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: September 13, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Taisuke Furukawa, Yoshikazu Yoneda, Tatsuhiko Ikeda
  • Patent number: 6930010
    Abstract: A conductive structure provides a conductive path from a first region in a semiconductor material to a second spaced apart region in the semiconductor material by forming one or more trenches between the first and second regions, and implanting a dopant into the bottom surfaces of the trenches to form a continuous conductive path.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: August 16, 2005
    Assignee: National Semiconductor Corporation
    Inventors: William M. Coppock, Charles A. Dark
  • Patent number: 6924202
    Abstract: A heterojunction bipolar transistor (HBT), and manufacturing method therfor, comprising a semiconductor substrate having a collector region is provided. A base contact layer is formed over the collector region, and a base trench is formed in the base contact layer and the collector region. An intrinsic base structure having a sidewall portion and a bottom portion is formed in the base trench. An insulating spacer is formed over the sidewall portion of the intrinsic base structure, and an emitter structure is formed over the insulating spacer and the bottom portion of the intrinsic base structure. An interlevel dielectric layer is formed over the base contact layer and the emitter structure. Connections are formed through the interlevel dielectric layer to the collector region, the base contact layer, and the emitter structure. The intrinsic base structure is silicon and at least one of silicon-germanium, silicon-germanium-carbon, and combinations thereof.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: August 2, 2005
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jian Xun Li, Lap Chan, Purakh Raj Verma, Jia Zhen Zheng, Shao-fu Sanford Chu
  • Patent number: 6828649
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the same. In one advantageous embodiment, the semiconductor device includes a doped layer located over a semiconductor substrate, and an isolation trench located in the doped layer and having a dielectric layer located on a sidewall thereof. The semiconductor device may further include a conductive material located within the isolation trench and an interconnect that electrically connects the conductive material and the doped layer.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: December 7, 2004
    Assignee: Agere Systems Inc.
    Inventors: John C. Desko, Thomas J. Krutsick, Chung-Ming Hsieh, Brian E. Thompson, Bailey Jones, Steve Wallace
  • Patent number: 6815304
    Abstract: Silicon carbide bipolar junction transistors having an overgrown base layer are provided. The bipolar junction transistors can be made with a very thin (e.g., 0.3 &mgr;m or less) base layer while still possessing adequate peripheral base resistance values. Self aligning manufacturing techniques for making the silicon carbide bipolar junction transistors are also provided. Using these techniques, the spacing between emitter and base contacts on the device can be reduced. The silicon carbide bipolar junction transistors can also be provided with edge termination structures such as guard rings to increase the blocking capabilities of the device.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: November 9, 2004
    Assignee: SemiSouth Laboratories, LLC
    Inventors: Igor Sankin, Janna B. Dufrene
  • Publication number: 20040212045
    Abstract: In a method of producing a bipolar transistor, a semiconductor substrate having a substrate surface is provided. A base-terminal layer for providing a base terminal is formed on the substrate surface, and an emitter window having a wall area is formed in the base-terminal layer. A first spacing layer is formed on the wall area of the emitter contact window, and a recess is etched into the substrate within a window specified by the first spacing layer. A base layer contacted by outdiffusion from the base-terminal layer is formed in the recess of the emitter window, and a second spacing layer is formed on the first spacing layer and on the base layer. The second spacing layer is structured for the purpose of specifying a planar terminal pad on the base layer, and an emitter layer is formed on the planar terminal pad.
    Type: Application
    Filed: January 23, 2004
    Publication date: October 28, 2004
    Applicant: Infineon Technologies AG
    Inventors: Armin Tilke, Kristin Schupke
  • Patent number: 6808999
    Abstract: A bipolar transistor has a high performance and high reliability, which are obtained by enhancing a withstanding voltage between an emitter and a base. The bipolar transistor includes a first impurity diffusion layer in a semiconducting substrate, an opening disposed in the first conductive film. A third impurity diffusion layer is formed so as to contain the second diffusion layer and side walls are formed on the side walls of the opening. A fourth impurity diffusion layer in the third impurity diffusion layer is formed in the opening surrounded by the side walls.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: October 26, 2004
    Assignee: Sony Corporation
    Inventor: Hiroyuki Miwa
  • Patent number: 6797580
    Abstract: According to one exemplary embodiment, a method for fabricating a bipolar transistor in a BiCMOS process comprises a step of forming an emitter window stack by sequentially depositing a base oxide layer and an antireflective coating layer on a top surface of a base, where the emitter window stack does not comprise a polysilicon layer. The method further comprises etching an emitter window opening in the emitter window stack. The method further comprises depositing an emitter layer in the emitter window opening and over the antireflective coating layer and etching the emitter layer to form an emitter. The method further comprises etching a first portion of the base oxide layer not covered by the emitter using a first etchant, thereby causing the first portion of the base oxide layer to have a thickness less than a thickness of a second portion of the base oxide layer covered by the emitter.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: September 28, 2004
    Assignee: Newport Fab, LLC
    Inventors: Kevin Q. Yin, Amol Kalburge, Kenneth M. Ring
  • Patent number: 6753234
    Abstract: The intrinsic base region of a bipolar transistor is formed to avoid a chemical interaction between the chemicals used in a chemical mechanical polishing step and the materials used to form the base region. The method includes the step of forming a trench in a layer of epitaxial material. After this, a base material that includes silicon and germanium is blanket deposited, followed by the blanket deposition of a layer of protective material. The layer of protective material protects the base material from the chemical mechanical polishing step.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: June 22, 2004
    Assignee: National Semiconductor Corporation
    Inventor: Abdalla Aly Naem
  • Publication number: 20040053439
    Abstract: A method of fabricating a semiconductor connective region of a first conductivity type through a semiconductor layer of a second conductivity type which at least partly separates a bulk portion of semiconductor body (substrate) of the first conductivity type from a semiconductor well of the first conductivity type includes a step of implanting ions into a portion of the layer to convert the conductivity of the implanted portion to the first conductivity type. This electrically connects the well to the bulk portion of the body. Any biasing potential applied to the bulk portion of the body is thus applied to the well. This eliminates any need to form a contact in the well for biasing the well and thus allows the well to be reduced in size.
    Type: Application
    Filed: September 17, 2002
    Publication date: March 18, 2004
    Applicant: Infineon Technologies North America Corp.
    Inventors: Thomas Schafbauer, Klaus Schruefer, Odin Prigge, Reinhard Mahnkopf, Walter Neumueller
  • Patent number: 6686250
    Abstract: A self-aligned bipolar transistor and a method of formation thereof are provided. The bipolar transistor has an emitter region characterized by a y-shaped structure formed from bilayer polysilicon. The bilayer polysilicon includes a first polysilicon emitter structure and a second polysilicon emitter structure. The method of forming the bipolar transistor includes forming an emitter stack on a substrate. The emitter stack comprises the first polysilicon emitter structure and a plug structure. The emitter stack defines the substrate into a masked portion and exposed adjacent portions. The exposed adjacent portions are selectively doped with a dopant to define an extrinsic base region, wherein the dopant is blocked from entering the masked portion. After selectively doping the extrinsic base region, the plug structure is removed from the emitter stack and the second polysilicon emitter structure is formed on the first polysilicon emitter structure to define the emitter region of the bipolar transistor.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: February 3, 2004
    Assignee: Maxim Integrated Products, Inc.
    Inventors: Alexander Kalnitsky, Michael Rowlandson, Fanling H. Yang, Sang Park, Robert F. Scheer
  • Patent number: 6674146
    Abstract: An apparatus including a contact point on a substrate; a first dielectric layer comprising a material having a dielectric constant less than five formed on the contact point, and a different second dielectric layer formed on the substrate and separated from the contact point by the first dielectric layer. Collectively, the first and second dielectric layers comprise a composite dielectric layer having a composite dielectric constant value. The contribution of the first dielectric layer to the composite dielectric value is up to 20 percent. Also, a method including depositing a composite dielectric layer over a contact point on a substrate, the composite dielectric layer comprising a first material having a dielectric constant less than 5 and a second different second material, and forming a conductive interconnection through the composite dielectric layer to the contact point.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: January 6, 2004
    Assignee: Intel Corporation
    Inventor: Loren A. Chow
  • Patent number: 6635545
    Abstract: The bipolar transistor is produced such that a connection region of its base is provided with a silicide layer, so that a base resistance of the bipolar transistor is small. No silicide layer is produced between an emitter and an emitter contact and between a connection region of a collector and a collector contact. The base is produced by in situ-doped epitaxy in a region in which a first insulating layer is removed by isotropic etching such that the connection region of the base which is arranged on the first insulating layer is undercut. In order to avoid defects of a substrate in which the bipolar transistor is partly produced, isotropic etching is used for the patterning of auxiliary layers, whereby etching is selective with respect to auxiliary layers lying above, which are patterned by anisotropic etching.
    Type: Grant
    Filed: June 3, 2002
    Date of Patent: October 21, 2003
    Assignee: Infineon Technologies AG
    Inventors: Josef Böck, Wolfgang Klein, Herbert Schäfer, Martin Franosch, Thomas Meister, Reinhard Stengl
  • Publication number: 20030160301
    Abstract: A semiconductor device and a manufacturing method for the same can be obtained wherein a semiconductor substrate of a high resistance that can enhance the Q value of a passive circuit element is used and leak current due to the impurity fluctuation that easily occurs in this high resistance semiconductor substrate, and whereby noise resistance of an active element in the high resistance semiconductor substrate is increased. A semiconductor device including a bipolar transistor formed in the main surface of a semiconductor substrate is provided wherein the bipolar transistor includes a semiconductor layer of a first conductive type at a bottom portion thereof and this semiconductor device is provided with a buried layer of a second conductive type, which is located in the semiconductor substrate so as to face the semiconductor layer of the first conductive type.
    Type: Application
    Filed: August 28, 2002
    Publication date: August 28, 2003
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Taisuke Furukawa, Yoshikazu Yoneda, Tatsuhiko Ikeda
  • Patent number: 6597053
    Abstract: An integrated circuit arrangement having a number of structural elements, at least one of which is surrounded by a metallic shielding structure. This structural element is thus protected against interference due to disturbing impulses from its environment. In particular, the structural elements of the circuit arrangement can be arranged next to or on top of one another. To produce the metallic shielding structure of a structural element of the circuit arrangement, at least one depression which surrounds the structural element is created and then lined with metal. The contacts and electrical connections of the structural element are electrically insulated from the metal of the shielding structure.
    Type: Grant
    Filed: October 15, 1999
    Date of Patent: July 22, 2003
    Assignee: Siemens Aktiengesellschaft
    Inventors: Anton Anthofer, Holger Hübner
  • Patent number: 6579774
    Abstract: A semiconductor device fabrication method includes the steps of forming a first insulation layer and a first semiconductor layer sequentially on a semiconductor substrate having a buried diffusion region therein. A second insulation layer is formed on the first semiconductor layer. The first insulation layer, the first semiconductor layer, and the second insulation layer are then patterned to create openings that expose the buried diffusion region. A third insulation layer is formed on respective side walls of the openings on the exposed portions of the first semiconductor layer, first insulation layer and second insulation layer that form the openings. A first epitaxial layer is formed on the semiconductor substrate exposed through the openings. A second epitaxial layer is then formed on the first epitaxial layer to be connected to the first semiconductor layer, thereby forming an active base region and a second conductive type collector region in the second epitaxial layer of the first and second openings.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: June 17, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yong Chan Kim
  • Patent number: 6573147
    Abstract: A semiconductor device having a contact using a crack-protecting layer and a method of forming the same are provided. The crack-protecting layer formed of a dielectric material is formed on an interlayer dielectric layer. The crack-protecting layer relieves or absorbs residual stress generated on a conductive layer used in forming a contact plug. Thus, a contact can be formed without damage to the interlayer dielectric layer due to residual stress.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: June 3, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kwang-jin Moon, Hee-sook Park, Myoung-bum Lee
  • Patent number: 6506655
    Abstract: A method of manufacturing a bipolar transistor in an N-type semiconductor substrate, including the steps of depositing a first base contact polysilicon layer and doping it; depositing a second silicon oxide layer; forming in the first and second layers an opening; annealing to form a third thin oxide layer and harden the second oxide layer; implanting a P-type dopant; depositing a fourth silicon nitride layer; depositing a fifth silicon oxide layer and etching it; anisotropically etching the fifth, fourth, and third layers; performing cleanings during which the fifth layer is reetched and takes a flared profile; depositing a sixth polysilicon layer; and implanting an N-type dopant.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: January 14, 2003
    Assignee: STMicroelectronics S.A.
    Inventors: Yvon Gris, Germaine Troillard
  • Patent number: 6492219
    Abstract: An integrated circuit has a guard ring for shielding a first area 14 (eg. high voltage area) from a second area 15 (eg.low voltage). The guard ring comprises a conductive guard ring 6, (eg. metal), which is partially exposed through a passivation layer 13 in the integrated circuit 1. A semiconductor guard ring 8, (eg. silicon), is isolated from the first and second areas of semiconductor by at least two trench rings 16, one located on each side of the semiconductor guard ring 8. A plurality of conductive elements (comprising a metal connection plate 18 and via 19) connect the conductive guard ring 6 and the semiconductor guard ring 8 at spaced apart intervals. The conductive guard ring 6, semiconductor guard ring 8 and conductive elements are all connected to a ground source. If high energy particles move from the first area towards the second area, they are attracted to the exposed metal, and their charge is conducted to ground.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: December 10, 2002
    Assignee: Telefonaktiebolaget LM Ericsson (publ)
    Inventors: David J. Miles, Richard J. Goldman
  • Patent number: 6482710
    Abstract: A bipolar transistor according to the invention is provided with structure that an intrinsic base made of single crystal Si—Ge and a base leading-out electrode are connected via a link base made of polycrystal Si—Ge by doping at high concentration, further, a part immediately under the intrinsic base has the same conductive type as that of a collector and in a peripheral part, a single crystal Si—Ge layer having the same conductive type as that of a base is provided between the intrinsic base and a collector layer. Hereby, the reduction of the resistance of the link base between the intrinsic base and the base leading-out electrode and the reduction of capacitance between the collector and the base are simultaneously realized, and a self-aligned bipolar transistor wherein capacitance between an emitter and the base and capacitance between the collector and the base are respectively small, power consumption is small and high speed operation is enabled is acquired.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: November 19, 2002
    Assignees: Hitachi, Ltd., Hitachi Device Engineering Co., Ltd.
    Inventors: Katsuya Oda, Eiji Ohue, Masao Kondo, Katsuyoshi Washio, Masamichi Tanabe, Hiromi Shimamoto
  • Patent number: 6432789
    Abstract: The present invention relates to an integrated circuit including a lateral well isolation bipolar transistor. A first portion of the upper internal periphery of the insulating well is hollowed and filled with polysilicon having the same conductivity type as the transistor base, to form a base contacting region. A second portion of the upper internal periphery of the insulating well is hollowed and filled with polysilicon having the same conductivity type as the transistor emitter, to form an emitter contacting region.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: August 13, 2002
    Assignee: SGS-Thomson Microelectronics S.A
    Inventor: Yvon Gris
  • Patent number: 6429085
    Abstract: A process used in the fabrication of a self-aligned non-selective thin-epi-base silicon germanium (SiGe) heterojunction bipolar transistor (HBT) BiCMOS. The process involves using TEOS or Spin-On-Glass (SOG) silicon dioxide etchback in the fabrication of the SiGe BiCMOS device.
    Type: Grant
    Filed: September 6, 2000
    Date of Patent: August 6, 2002
    Assignee: Applied Micro Circuits Corporation
    Inventor: Jerald Frank Pinter
  • Publication number: 20020017703
    Abstract: A complementary bipolar transistor having a lateral npn bipolar transistor, a vertical and a lateral pnp bipolar transistor, an integrated injection logic, a diffusion capacitor, a polysilicon capacitor and polysilicon resistors are disclosed. The lateral pnp bipolar transistor has an emitter region and a collector region which includes high-density regions and low-density regions, and the emitter region is formed in an n type tub region. In the integrated injection logic circuit, collector regions are surrounded by a high-density p type region, and low-density p type regions are formed under the collector regions. The diffusion capacitor and the polysilicon capacitor are formed in one substrate. The diffusion regions except the regions formed by diffusing the impurities in the polysilicon resistors into the epitaxial layer are formed before forming the polysilicon resistors, and polysilicon electrodes are formed along with the polysilicon resistors.
    Type: Application
    Filed: October 16, 2001
    Publication date: February 14, 2002
    Inventors: Jong-Hwan Kim, Tae-Hoon Kwon, Cheol-Joong Kim, Suk-Kyun Lee
  • Patent number: 6337251
    Abstract: In a method of manufacturing a semiconductor device, a first insulating film is formed on a semiconductor substrate, a first conductive film is formed on the first insulating film, and a second insulating film is formed on the first conductive film. An opening is formed to the semiconductor substrate through the second insulting film, the first conductive film and the first insulting film to expose a portion of a surface of the semiconductor substrate and a portion of a surface of the first conductive film. The exposed surface portion of the first conductive film is covered by a covering film. Thermal treatment is carried out to clean the exposed surface portion of the semiconductor substrate. A spacer film is formed in the opening on the exposed surface portion of the semiconductor substrate, and then the covering film is removed. Subsequently, an electrode film is formed on the spacer film.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: January 8, 2002
    Assignee: NEC Corporation
    Inventor: Takasuke Hashimoto
  • Patent number: 6291304
    Abstract: A new design for a high voltage bipolar transistor is disclosed. Instead of a buried subcollector (which would be N+ in an NPN device)d a buried P+ layer is used. The presence of this P+ layer results in pinch-off between itself and the bipolar base. This allows much higher breakdown voltages to be achieved. In particular, the device will not break down at the bottom of the base-collector junction which is the weak spot for conventional devices. A process for manufacturing this device is described. A particular feature of this new process is that the N type epitaxial layer that is grown over the P+ layer is only about half the thickness of its counterpart in the conventional device. The process is fully compatible with conventional BiCMOS processes and has lower cost.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: September 18, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jun-Lin Tsaz, Ruey-Hsin Liu, Jyh-Min Jiang, Jei-Feng Hwang