Including Heat Treatment Patents (Class 438/530)
  • Patent number: 7977221
    Abstract: A strained Si—SOI substrate, and a method for producing the same are provided, wherein the method includes the steps of growing a SiGe mixed crystal layer 14 on an SOI substrate 10 having an Si layer 13 and a buried oxide film 12; forming protective films 15, 16 on the surface of the SiGe mixed crystal layer 14; implanting light element ions into a vicinity of the interface between the Si layer 13 and the buried oxide film 12; performing a first heat treatment at a temperature in the range of 400 to 1000° C.; performing a second heat treatment at a temperature not lower than 1050° C. under an oxidizing atmosphere; performing a third heat treatment at a temperature not lower than 1050° C. under an inert atmosphere; removing the Si oxide film 18 formed on the surface; and forming a strained Si layer 19.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: July 12, 2011
    Assignees: Sumco Corporation, Kyushu University, National University Corporation
    Inventors: Masaharu Ninomiya, Koji Matsumoto, Masahiko Nakamae, Masanobu Miyao
  • Patent number: 7977209
    Abstract: A heating plate having a smooth surface is placed on a hot plate which constitutes a heating section, and the smooth surface of the heating plate is closely adhered on the rear surface of a single-crystal Si substrate bonded to a transparent insulating substrate. The temperature of the heating plate is kept at 200° C. or higher but not higher than 350° C. When the rear surface of the single-crystal Si substrate bonded to the insulating substrate is closely adhered on the heating plate, the single-crystal Si substrate is heated by thermal conduction, and a temperature difference is generated between the single-crystal Si substrate and the transparent insulating substrate. A large stress is generated between the both substrates due to rapid expansion of the single-crystal Si substrate, thus separation takes place at a hydrogen ion-implanted interface.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: July 12, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Makoto Kawai, Yuuji Tobisaka, Koichi Tanaka
  • Patent number: 7972947
    Abstract: In a method for fabricating a semiconductor element in a substrate, first implantation ions are implanted into the substrate, whereby micro-cavities are produced in a first partial region of the substrate. Furthermore, pre-amorphization ions are implanted into the substrate, whereby a second partial region of the substrate is at least partly amorphized, and whereby crystal defects are produced in the substrate. Furthermore, second implantation ions are implanted into the second partial region of the substrate. Furthermore, the substrate is heated, such that at least some of the crystal defects are eliminated using the second implantation ions. Furthermore, dopant atoms are implanted into the second partial region of the substrate, wherein the semiconductor element is formed using the dopant atoms.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: July 5, 2011
    Assignees: Infineon Technologies AG, IMEC VZW.
    Inventors: Luis-Felipe Giles, Thomas Hoffmann, Chris Stapelmann
  • Patent number: 7968441
    Abstract: A method and apparatus for forming a semiconductor device. A semiconductor substrate is implanted with dopants. The substrate is subjected to a cleaning process employing electrically neutral nitrogen and fluorine radicals to produce an oxygen-free surface having dangling bonds. Before any further exposure to oxidizing gases, the substrate is annealed by thermal treatment to activate and distribute the dopants. A gate oxide layer is formed over the annealed surface. The apparatus performs all such treatments without breaking vacuum.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Zhi Xu
  • Patent number: 7968955
    Abstract: A gate of a semiconductor device includes a substrate, and a polysilicon layer over the substrate, wherein the polysilicon layer is doped with first conductive type impurities having a concentration that decreases when receding from the substrate and counter-doped with second conductive type impurities having a concentration that increases when receding from the substrate.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: June 28, 2011
    Assignee: hynix Semiconductor Inc.
    Inventors: Jae-Geun Oh, Jin-Ku Lee, Min-Ae Ju
  • Patent number: 7968440
    Abstract: Described herein are processing conditions, techniques, and methods for preparation of ultra-shallow semiconductor junctions. Methods described herein utilize semiconductor surface processing or modification to limit the extent of dopant diffusion under annealing conditions (e.g. temperature ramp rates between 100 and 5000° C./second) previously thought impractical for the preparation of ultra-shallow semiconductor junctions. Also described herein are techniques for preparation of ultra-shallow semiconductor junctions utilizing the presence of a solid interface for control of dopant diffusion and activation.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: June 28, 2011
    Assignee: The Board of Trustees of the University of Illinois
    Inventor: Edmund G. Seebauer
  • Publication number: 20110151654
    Abstract: First, a first layer made of Ni or an alloy including Ni may be formed on an upper surface of a semiconductor layer. Next, a second layer made of silicon oxide may be formed on an upper surface of the first layer. Next, a part, which corresponds to a semiconductor region, of the second layer may be removed. Next, second conductive type ion impurities may be injected from upper sides of the first and second layers to the semiconductor layer after the removing step.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 23, 2011
    Applicants: TOYOTA JIDOSHA KABUSHIKI KAISHA, DENSO CORPORATION
    Inventors: Masaki KONISHI, Hirokazu FUJIWARA, Takeshi ENDO, Takeo YAMAMOTO, Takashi KATSUNO, Yukihiko WATANABE
  • Publication number: 20110151653
    Abstract: A spin-on formulation that is useful in stripping an ion implanted photoresist is provided that includes an aqueous solution of a water soluble polymer containing at least one acidic functional group, and at least one lanthanide metal-containing oxidant. The spin-on formulation is applied to an ion implanted photoresist and baked to form a modified photoresist. The modified photoresist is soluble in aqueous, acid or organic solvents. As such one of the aforementioned solvents can be used to completely strip the ion implanted photoresist as well as any photoresist residue that may be present. A rinse step can follow the stripping of the modified photoresist.
    Type: Application
    Filed: December 21, 2009
    Publication date: June 23, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ali Afzali-Ardakani, Mahmoud Khojasteh, Ronald W. Nunes, George G. Totir
  • Patent number: 7951692
    Abstract: There is provided a method for suppressing the occurrence of defects such as voids or blisters even in the laminated wafer having an oxide film of a thickness thinner than the conventional one, wherein hydrogen ions are implanted into a wafer for active layer having an oxide film of not more than 50 nm in thickness to form a hydrogen ion implanted layer, and ions other than hydrogen are implanted up to a position that a depth from the surface side the hydrogen ion implantation is shallower than the hydrogen ion implanted layer, and the wafer for active layer is laminated onto a wafer for support substrate through the oxide film, and then the wafer for active layer is exfoliated at the hydrogen ion implanted layer.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: May 31, 2011
    Assignee: Sumco Corporation
    Inventors: Satoshi Murakami, Nobuyuki Morimoto, Hideki Nishihata, Akihiko Endo
  • Publication number: 20110124160
    Abstract: A semiconductor substrate and a method of its manufacture has a semiconductor substrate having a carbon concentration in a range of 6.0×1015 to 2.0×1017 atoms/cm3, both inclusively. One principal surface of the substrate is irradiated with protons and then heat-treated to thereby form a broad buffer structure, namely a region in a first semiconductor layer where a net impurity doping concentration is locally maximized. Due to the broad buffer structure, lifetime values are substantially equalized in a region extending from an interface between the first semiconductor layer and a second semiconductor layer formed on the first semiconductor layer to the region where the net impurity doping concentration is locally maximized. In addition, the local minimum of lifetime values of the first semiconductor layer becomes high.
    Type: Application
    Filed: January 31, 2011
    Publication date: May 26, 2011
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventor: Michio NEMOTO
  • Patent number: 7939441
    Abstract: This p-type silicon wafer was subjected to heat treatment to have a resistivity of 10 ?·cm or more, a BMD density of 5×107 defects/cm3 or more, and an n-type impurity concentration of 1×1014 atoms/cm3 or less at a depth of within 5 ?m from a surface of the wafer. This method for heat-treating p-type silicon wafers, the method includes the steps of: loading p-type silicon wafers onto a wafer boat, inserting into a vertical furnace, and holding in an argon gas ambient atmosphere at a temperature of 1100 to 1300° C. for one hour; moving the wafer boat to a transfer chamber and discharging the silicon wafers; and transferring to the wafer boat silicon wafers to be heat treated next, wherein after the discharge of the heat-treated silicon wafers, the silicon wafers to be heat-treated next are transferred to the wafer boat within a waiting time of less than two hours.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: May 10, 2011
    Assignee: Sumco Corporation
    Inventors: Tatsumi Kusaba, Hidehiko Okuda
  • Patent number: 7936017
    Abstract: A method, gated device and design structure are presented for providing reduced floating body effect (FBE) while not impacting performance enhancing stress. One method includes forming damage in a portion of a substrate adjacent to a gate; removing a portion of the damaged portion to form a trench, leaving another portion of the damaged portion at least adjacent to a channel region; and substantially filling the trench with a material to form a source/drain region.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: William F. Clark, Jr., Toshiharu Furukawa, Xuefeng Hua, Charles W. Koburger, III, Robert R. Robison
  • Patent number: 7936051
    Abstract: A silicon wafer which achieves a gettering effect without occurrence of slip dislocations is provided, and the silicon wafer is subject to heat treatment after slicing from a silicon monocrystal ingot so that a layer which has zero light scattering defects according to the 90° light scattering method is formed in a region at a depth from the wafer surface of 25 ?m or more but less than 100 ?m, and a layer which has a light scattering defect density of 1×108/cm3 or more according to the 90° light scattering method is formed in a region at a depth of 100 ?m from the wafer surface.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: May 3, 2011
    Assignee: Sumco Corporation
    Inventors: Toshiaki Ono, Masataka Hourai
  • Publication number: 20110092058
    Abstract: In an ion implantation method, a substrate is placed in a process zone and ions are implanted into a region of the substrate to form an ion implanted region. A porous capping layer comprising dispersed gas pockets is deposited on the ion implanted region.
    Type: Application
    Filed: December 21, 2010
    Publication date: April 21, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jose Ignacio Del AGUA BORNIQUEL, Tze POON, Robert SCHREUTELKAMP, Majeed FOAD
  • Patent number: 7927954
    Abstract: A method for fabricating strained-silicon transistors is disclosed. First, a semiconductor substrate is provided and a gate structure and a spacer surrounding the gate structure are disposed on the semiconductor substrate. A source/drain region is then formed in the semiconductor substrate around the spacer, and a first rapid thermal annealing process is performed to activate the dopants within the source/drain region. An etching process is performed to form a recess around the gate structure and a selective epitaxial growth process is performed to form an epitaxial layer in the recess. A second rapid thermal annealing process is performed to redefine the distribution of the dopants within the source/drain region and repair the damaged bonds of the dopants.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: April 19, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Li-Shian Jeng, Kun-Hsien Lee, Wen-Han Hung, Tzyy-Ming Cheng, Meng-Yi Wu, Tsai-Fu Hsiao, Shu-Yen Chan
  • Patent number: 7928503
    Abstract: Some embodiments include methods of forming memory cells. Dopant is implanted into a semiconductor substrate to form a pair of source/drain regions that are spaced from one another by a channel region. The dopant is annealed within the source/drain regions, and then a plurality of charge trapping units are formed over the channel region. Dielectric material is then formed over the charge trapping units, and control gate material is formed over the dielectric material. Some embodiments include memory cells that contain a plurality of nanosized islands of charge trapping material over a channel region, with adjacent islands being spaced from one another by gaps. The memory cells can further include dielectric material over and between the nanosized islands, with the dielectric material forming a container shape having an upwardly opening trough therein. The memory cells can further include control gate material within the trough.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: April 19, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Hussein I. Hanafi
  • Patent number: 7923361
    Abstract: The resist film after high-concentration ion implantation has a hard modified layer on the surface thereof, and is difficult to remove in the temperature region as low as about 150 degrees centigrade. This is because the etching rate of the modified layer sharply decreases with a decrease in temperature. The temperature is increased up to about 250 degrees centigrade to perform an ashing treatment in vacuum in order to increase the etching rate of the modified layer. Then, there occurs a popping phenomenon that the inside resist solvent swells and breaks. The residues scattered thereby of the modified layer and the like seize the wafer surface, and also become difficult to remove even in the subsequent cleaning.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: April 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhisa Shibuya, Hiromichi Waki, Naoto Aida
  • Patent number: 7919391
    Abstract: The invention concerns a method of treating one or both bonding surfaces of first and second substrates and in particular, the surfaces of donor and receiver wafers that are intended to be bonded together. A simultaneous cleaning and activation step is carried out immediately prior to bonding the wafers together, by applying to one or both bonding surfaces an activation solution of ammonia (NH4OH) in water, preferably deionized, at a concentration by weight in the range from about 0.05% to 2%. The method is applicable to fabricating structures used in the optics, electronics, or optoelectronics fields.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: April 5, 2011
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Cécile Delattre, Frédéric Metral, Daniel Delprat, Christophe Maleville
  • Publication number: 20110076842
    Abstract: An ion implantation is performed to implant ions into a silicon substrate, and a microwave irradiation is performed to irradiate the silicon substrate with microwaves after the ion implantation. After the microwave irradiation, the silicon substrate is transferred to a heat-treatment apparatus, where the silicon substrate is treated with heat by being irradiated with light having a pulse width ranging from 0.1 milliseconds to 100 milliseconds, both inclusive.
    Type: Application
    Filed: September 30, 2010
    Publication date: March 31, 2011
    Inventors: Kenichi YOSHINO, Kiyotaka Miyano, Tomonori Aoyama
  • Publication number: 20110073922
    Abstract: A semiconductor device manufacturing method includes the steps of ion-implanting a p-type or an n-type impurity into a Si layer portion to become a p-type or an n-type contact region of a semiconductor device, forming a metal film for a contact on a surface of the contact region without performing heat treatment for activating implanted ions after the ion-implanting step, and forming a silicide of a metal of the metal film by causing the metal to react with the Si layer portion by heating. It is desired to simultaneously perform the step of forming the silicide and the step of activating the implanted ions by heat treatment after the metal film is formed.
    Type: Application
    Filed: April 17, 2009
    Publication date: March 31, 2011
    Inventors: Tadahiro Ohmi, Akinobu Teramoto, Hiroaki Tanaka, Tatsunori Isogai
  • Patent number: 7915148
    Abstract: A silicon on insulator (SOI) substrate is converted into a strained SOI substrate by first providing an SOI substrate having a thin silicon layer and an insulator and at least one first epitaxial relaxing layer on the SOI-substrate. Then a defect region is produced in a layer by implantation of SI ions above the silicon layer of the SOI-substrate. Finally the first layer is relaxed by a thermal treatment in an inert atmosphere to simultaneously strain the silicon layer of the SOI-substrate via dislocation mediated strain transfer and to produce the strained silicon layer directly on the insulator.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: March 29, 2011
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Publication number: 20110070724
    Abstract: A method and apparatus for implanting a semiconductor substrate with boron clusters. A substrate is implanted with octadecaborane by plasma immersion or ion beam implantation. The substrate surface is then annealed to completely dissociate and activate the boron clusters. The annealing may take place by melting the implanted regions or by a sub-melt annealing process.
    Type: Application
    Filed: September 21, 2009
    Publication date: March 24, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jiping Li, Aaron Muir Hunter, Bruce E. Adams, Theodore Moffitt, Stephen Moffatt
  • Patent number: 7910466
    Abstract: A high-voltage semiconductor device and a method for making the same are provided. A high-voltage semiconductor device and a low-voltage semiconductor device are formed in a single substrate, a photolithography process that is required to form a high-voltage well region is omitted, and the well region of the high-voltage semiconductor is formed together with the well region of the low-voltage semiconductor device formed in another photolithography process.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: March 22, 2011
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Choul Joo Ko
  • Publication number: 20110042791
    Abstract: A method for treating an oxygen-containing semiconductor wafer, and semiconductor component. One embodiment provides a first side, a second side opposite the first side. A first semiconductor region adjoins the first side. A second semiconductor region adjoins the second side. The second side of the wafer is irridated such that lattice vacancies arise in the second semiconductor region. A first thermal process is carried out the duration of which is chosen such that oxygen agglomerates form in the second semiconductor region and that lattice vacancies diffuse from the first semiconductor region into the second semiconductor region.
    Type: Application
    Filed: January 19, 2007
    Publication date: February 24, 2011
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Hans-Joachim Schulze, Helmut Strack, Anton Mauder
  • Patent number: 7888249
    Abstract: The manufacture of solar cells is simplified and cost reduced through by performing successive ion implants, without an intervening thermal cycle. In addition to reducing process time, the use of chained ion implantations may also improve the performance of the solar cell. In another embodiment, two different species are successively implanted without breaking vacuum. In another embodiment, the substrate is implanted, then flipped such that it can be and implanted on both sides before being annealed. In yet another embodiment, one or more different masks are applied and successive implantations are performed without breaking the vacuum condition, thereby reducing the process time.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: February 15, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas P. T. Bateman, Atul Gupta, Paul Sullivan, Paul J. Murphy
  • Patent number: 7888194
    Abstract: A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: February 15, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Li-Shian Jeng, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Kun-Hsien Lee, Meng-Yi Wu, Tzyy-Ming Cheng
  • Patent number: 7888250
    Abstract: A compound semiconductor is placed in a reaction vessel (12) of which the inner gas is subjected to replacement with a low-vapor-pressure gas (2) whose equilibrium vapor pressure at the melting point of the compound semiconductor is 1 atm or lower. The low-vapor-pressure gas is urged to flow along the surface of the compound semiconductor while keeping the internal pressure of the reaction vessel at a value not lower than that equilibrium vapor pressure. The surface of the compound semiconductor is irradiated with a pulsed-laser light (3) whose photon energy is higher than the band gap of the compound semiconductor. Thus, only that part of the compound semiconductor which is located at the pulsed-laser light irradiation position is instantly heated and melted while keeping the atmospheric temperature of the low-vapor-pressure gas at a room temperature or a temperature equal to or lower than the decomposition temperature.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: February 15, 2011
    Assignee: IHI Corporation
    Inventor: Norihito Kawaguchi
  • Publication number: 20110034014
    Abstract: A method of applying a silicide to a substrate while minimizing adverse effects, such as lateral diffusion of metal or “piping” is disclosed. The implantation of the source and drain regions of a semiconductor device are performed at cold temperatures, such as below 0° C. This cold implant reduces the structural damage caused by the impacting ions. Subsequently, a silicide layer is applied, and due to the reduced structural damage, metal diffusion and piping into the substrate is lessened. In some embodiments, an amorphization implant is performed after the implantation of dopants, but prior to the application of the silicide. By performing this pre-silicide implant at cold temperatures, similar results can be obtained.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 10, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Christopher R. Hatem, Benjamin Colombeau, Thirumal Thanigaivelan, Kyu-Ha Shim, Jay T. Scheuer
  • Patent number: 7879704
    Abstract: A memory function body has a medium interposed between a first conductor (e.g., a conductive substrate) and a second conductor (e.g., an electrode) and consisting of a first material (e.g., silicon oxide or silicon nitride). The medium contains particles. Each particle is covered with a second material (e.g., silver oxide) and formed of a third material (e.g., silver). The second material functions as a barrier against passage of electric charges, and the third material has a function of retaining electric charges. The third material is introduced into the medium by, for example, a negative ion implantation method.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: February 1, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Nobutoshi Arai, Hiroshi Iwata, Seizo Kakimoto
  • Publication number: 20110008952
    Abstract: According to one embodiment, in a method for manufacturing a semiconductor device, a surface region of a semiconductor substrate is modified into an amorphous layer. A microwave is irradiated to the semiconductor substrate in which the amorphous layer is formed in a dopant-containing gas atmosphere so as to form a diffusion layer in the semiconductor substrate. The dopant is diffused into the amorphous layer and is activated.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 13, 2011
    Inventor: Tomonori AOYAMA
  • Patent number: 7868306
    Abstract: A method for ion implantation is disclosed which includes modulating the temperature of the substrate during the implant process. This modulation affects the properties of the substrate, and can be used to minimize EOR defects, selectively segregate and diffuse out secondary dopants, maximize or minimize the amorphous region, and vary other semiconductor parameters. In one particular embodiment, a combination of temperature modulated ion implants are used. Ion implantation at higher temperatures is used in sequence with regular baseline processing and with ion implantation at cold temperatures. The temperature modulation could be at the beginning or at the end of the process to alleviate the detrimental secondary dopant effects.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: January 11, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Deepak A. Ramappa
  • Patent number: 7867860
    Abstract: A strained channel transistor is provided. The strained channel transistor comprises a substrate formed of a first material. A source region comprised of a second material is formed in a first recess in the substrate, and a drain region comprised of the second material is formed in a second recess in the substrate. A strained channel region formed of the first material is intermediate the source and drain region. A gate stack formed over the channel region includes a gate electrode overlying a gate dielectric. A gate spacer formed along a sidewall of the gate electrode overlies a portion of at least one of said source region and said drain region. A cap layer may be formed over the second material, and the source and drain regions may be silicided.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: January 11, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chun Huang, Yen-Ping Wang, Chih-Hsin Ko
  • Patent number: 7858537
    Abstract: With evacuation of interior of a vacuum chamber halted and with gas supply into the vacuum chamber halted, in a state that a mixed gas of helium gas and diborane gas is sealed in the vacuum chamber, a plasma is generated in a vacuum vessel and simultaneously a high-frequency power is supplied to a sample electrode. By the high-frequency power supplied to the sample electrode, boron is introduced to a proximity to the substrate surface.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: December 28, 2010
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Satoshi Maeshima, Bunji Mizuno, Yuichiro Sasaki
  • Patent number: 7847213
    Abstract: A coherent beam source, e.g., a laser having a cavity that is unstable in at least one direction, is used to produce a coherent beam having an initial intensity profile. The beam is passed through a relay having a Fourier plane containing a spatial filter that serves as a radiation defining mask. The filter has an aperture size and shape effective to modify the beam such that the modified beam forms an image on a substrate. The to image has an intensity profile that more closely approximates a super-Gaussian profile than the initial profile. For example, when the initial intensity profile is Gaussian, the spatial filter may allow passage of only unattenuated the central core of the beam and block completely blocks the wings of the Guassian profile. The modified beam may be more suitable for use in a scanning system used to anneal wafers or other substrates containing integrated circuits.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: December 7, 2010
    Assignee: Ultratech, Inc.
    Inventor: Serguei G Anikitchev
  • Patent number: 7846822
    Abstract: The present invention provides methods for fabricating semiconductor structures and devices, particularly ultra-shallow doped semiconductor structures exhibiting low electrical resistance. Methods of the present invention use modification of the composition of semiconductor surfaces to allow fabrication of a doped semiconductor structure having a selected dopant concentration depth profile, which provides useful junctions and other device components in microelectronic and nanoelectronic devices, such as transistors in high density integrated circuits. Surface modification in the present invention also allows for control of the concentration and depth profile of defects, such as interstitials and vacancies, in undersaturated semiconductor materials.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: December 7, 2010
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Edmund G. Seebauer, Richard D. Braatz, Michael Yoo Lim Jung, Rudiyanto Gunawan
  • Patent number: 7846803
    Abstract: A method of forming a doped region includes, in one embodiment, implanting a dopant into a region in a semiconductor substrate, recrystallizing the region by performing a first millisecond anneal, wherein the first millisecond anneal has a first temperature and a first dwell time, and activating the region using as second millisecond anneal after recrystallizing the region, wherein the second millisecond anneal has a second temperature and a second dwell time. In one embodiment, the first millisecond anneal and the second millisecond anneal use a laser. In one embodiment, the first temperature is the same as the second temperature and the first dwell time is the same as the second dwell time. In another embodiment, the first temperature is different from the second temperature and the first dwell time is different from the second dwell time.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: December 7, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Vishal P. Trivedi
  • Patent number: 7842590
    Abstract: A method for manufacturing a semiconductor device by laser annealing. One embodiment provides a semiconductor substrate having a first surface and a second surface. The second surface is arranged opposite to the first surface. A first dopant is introduced into the semiconductor substrate at the second surface such that its peak doping concentration in the semiconductor substrate is located at a first depth with respect to the second surface. A second dopant is introduced into the semiconductor surface at the second surface such that its peak doping concentration in the semiconductor substrate is located at a second depth with respect to the second surface, wherein the first depth is larger than the second depth. At least a first laser anneal is performed by directing at least one laser beam pulse onto the second surface to melt the semiconductor substrate, at least in sections, at the second surface.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: November 30, 2010
    Assignee: Infineon Technologies Austria AG
    Inventors: Thomas Gutt, Frank Umbach, Hans Peter Felsl, Manfred Pfaffenlehner, Franz-Josef Niedernostheide, Holger Schulze
  • Patent number: 7825016
    Abstract: In a method for fabricating a semiconductor element in a substrate, micro-cavities are formed in the substrate. Furthermore, doping atoms are implanted into the substrate, whereby crystal defects are produced in the substrate. The substrate is heated, so that at least some of the crystal defects are eliminated using the micro-cavities, and the semiconductor element is formed using the doping atoms.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: November 2, 2010
    Assignee: Infineon Technologies AG
    Inventor: Luis-Felipe Giles
  • Patent number: 7816706
    Abstract: The power semiconductor device with a four-layer npnp structure can be turned-off via a gate electrode. The first base layer comprises a cathode base region adjacent to the cathode region and a gate base region adjacent to the gate electrode, but disposed at a distance from the cathode region. The gate base region has the same nominal doping density as the cathode base region in at least one first depth, the first depth being given as a perpendicular distance from the side of the cathode region, which is opposite the cathode metallization. The gate base region has a higher doping density than the cathode base region and/or the gate base region has a greater depth than the cathode base region in order to modulate the field in blocking state and to defocus generated holes from the cathode when driven into dynamic avalanche.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: October 19, 2010
    Assignee: ABB Technology AG
    Inventors: Munaf Rahimo, Peter Streit
  • Publication number: 20100255666
    Abstract: A thermal processing method is provided. First, a semiconductor substrate is provided. The semiconductor substrate has a metal-oxide-semiconductor transistor formed thereon. The metal-oxide-semiconductor transistor includes a gate and source and drain regions on two sides of the gate. Dopants are implanted into the source and drain region and the gate. Next, a cap layer is formed over the semiconductor substrate. Next, a first thermal process is performed, and then a second thermal process is performed. Next, the cap layer is removed. The thermal processing method is capable of uniformly heating a semiconductor substrate and reducing the pattern effect in the fabrication of a CMOS and to improve the performance of the CMOS.
    Type: Application
    Filed: June 21, 2010
    Publication date: October 7, 2010
    Inventors: Chan-Lon YANG, Ching-I Li, Tzu-Feng Kuo, Yin-Ru Shi
  • Patent number: 7807554
    Abstract: A method of manufacturing a semiconductor element includes implanting ions of a dopant having a large diffusion coefficient into a semiconductor to provide a doped layer; and irradiating the doped layer with a plurality of pulsed laser beams supplied by a plurality of laser irradiation devices to activate the doped layer and provide an activated doped layer. The activated doped layer may be one of a single doped layer or a plurality of successive doped layers which each have respective conduction types that are one of identical or different. Device breakage and failure of the manufactured semiconductor element due to heat induced during laser irradiation are substantially prevented by this method.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: October 5, 2010
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventor: Haruo Nakazawa
  • Patent number: 7807553
    Abstract: A substrate heating apparatus having a heating unit for heating a substrate placed in a process chamber which can be evacuated includes a suscepter which is installed between the heating unit and a substrate, and on which the substrate is mounted, and a heat receiving member which is installed to oppose the suscepter with the substrate being sandwiched between them, and receives heat from the heating unit via the suscepter. A ventilating portion which allows a space formed between the heat receiving member and substrate to communicate with a space in the process chamber is formed.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: October 5, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Masami Shibagaki, Kenji Numajiri, Akihiro Egami, Akira Kumagai, Susumu Akiyama
  • Publication number: 20100248462
    Abstract: An exemplary method is disclosed for manufacturing a power semiconductor device which has a first electrical contact on a first main side and a second electrical contact on a second main side opposite the first main side and at least a two-layer structure with layers of different conductivity types, and includes providing an n-doped wafer and creating a surface layer of palladium particles on the first main side. The wafer is irradiated on the first main side with ions. Afterwards, the palladium particles are diffused into the wafer at a temperature of not more than 750° C., by which diffusion a first p-doped layer is created. Then, the first and second electrical contacts are created. At least the irradiation with ions is performed through a mask.
    Type: Application
    Filed: March 25, 2010
    Publication date: September 30, 2010
    Applicant: ABB Technology AG
    Inventors: Jan VOBECKY, Munaf Rahimo
  • Patent number: 7795117
    Abstract: There is provided a method for suppressing the occurrence of defects such as voids or blisters even in the laminated wafer having an oxide film of a thickness thinner than the conventional one, wherein hydrogen ions are implanted into a wafer for active layer having an oxide film of not more than 50 nm in thickness to form a hydrogen ion implanted layer, and ions other than hydrogen are implanted up to a position that a depth from the surface side the hydrogen ion implantation is shallower than the hydrogen ion implanted layer, and the wafer for active layer is laminated onto a wafer for support substrate through the oxide film, and then the wafer for active layer is exfoliated at the hydrogen ion implanted layer.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: September 14, 2010
    Assignee: Sumco Corporation
    Inventors: Satoshi Murakami, Nobuyuki Morimoto, Hideki Nishihata, Akihiko Endo
  • Patent number: 7795121
    Abstract: A method for manufacturing a semiconductor device is provided, which includes forming a gate insulating film on a semiconductor substrate, forming a first layer on the gate insulating film, the first layer containing a first p-type impurity and, an amorphous or polycrystalline formed of Si1-xGex (0?x<0.25), subjecting the first layer to a first heat treatment wherein the first layer is heated for 1 msec or less at a temperature higher than 1100° C., forming a second layer on the first layer, the second layer containing a second p-type impurity and formed of amorphous silicon or polycrystalline silicon, the second p-type impurity having a smaller covalent bond radius than that of the first p-type impurity, and subjecting the second layer to a second heat treatment to heat the second layer at a temperature ranging from 800° C. to 1100° C.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: September 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsunehiro Ino, Akio Kaneko, Nobutoshi Aoki
  • Patent number: 7795122
    Abstract: A method is disclosed for implanting and activating antimony as a dopant in a semiconductor substrate. A method is also disclosed for implanting and activating antimony to form a source/drain extension region in the formation of a transistor, in such a manner as to achieve high activation and avoid deactivation via subsequent exposure to high temperatures. This technique facilitates the formation of very thin source/drain regions that exhibit reduced sheet resistance while also suppressing short channel effects. Enhancements to these techniques are also suggested for more precise implantation of antimony to create a shallower source/drain extension, and to ensure formation of the source/drain extension region to underlap the gate. Also disclosed are transistors and other semiconductor components that include doped regions comprising activated antimony, such as those formed according to the disclosed methods.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: September 14, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Amitabh Jain, Srinivasan Chakravarthi, Shashank S. Ekbote
  • Patent number: 7790537
    Abstract: By introducing additional strain-inducing mechanisms on the basis of stress memorization techniques, the performance of NMOS transistors may be significantly increased, thereby reducing the imbalance between PMOS transistors and NMOS transistors. By amorphizing and re-crystallizing the respective material in the presence of a mask layer at various stages of the manufacturing process, a drive current improvement of up to approximately 27% has been observed, with the potential for further performance gain.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: September 7, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Andy Wei, Anthony Mowry, Andreas Gehring, Maciej Wiatr
  • Patent number: 7790587
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include creating an amorphous region in source/drain regions of a substrate by ion implantation with an electrically neutral dopant, annealing with a first anneal that removes defects without completely re-crystallizing the amophous region, ion implantation of electrically active dopant to a depth shallower than the remaining amorphous region, followed by a second anneal.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: September 7, 2010
    Assignee: Intel Corporation
    Inventors: Jack Hwang, Sridhar Govindaraju, Seok-Hee Lee, Patrick H. Keys, Chad D. Lindfors
  • Publication number: 20100210091
    Abstract: A method for producing a semiconductor includes providing a p-doped semiconductor body having a first side and a second side; implanting protons into the semiconductor body via the first side to a target depth of the semiconductor body; bonding the first side of the semiconductor body to a carrier substrate; forming an n-doped zone in the semiconductor body by heating the semiconductor body such that a pn junction arises in the semiconductor body; and removing the second side of the semiconductor body at least as far as a space charge zone spanned at the pn junction.
    Type: Application
    Filed: April 29, 2010
    Publication date: August 19, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Helmut Strack, Hans-Joerg Timme, Wolfgang Werner
  • Patent number: 7776660
    Abstract: Provided is a technology of carrying out activation annealing of n type impurity ions implanted for the formation of a field stop layer (n+ type semiconductor region) and activation annealing of p type impurity ions implanted for the formation of a collector region (p+ type semiconductor region) in separate steps to adjust an activation ratio of the n type impurity ions in the field stop layer to 60% or greater and an activation ratio of the p type impurity ions in the collector region to from 1 to 15%. This makes it possible to form an IGBT having a high breakdown voltage and high-speed switching characteristics. Moreover, use of a film stack made of nickel silicide, titanium, nickel and gold films for a collector electrode makes it possible to provide an ohmic contact with the collector region.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: August 17, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Isao Miyashita, Yuji Fujii, Hajime Ebara, Katsuo Ishizaka, Norio Hosoya, Hidekazu Okuda