Coating Of Sidewall Patents (Class 438/696)
  • Patent number: 7608536
    Abstract: Disclosed is a method of manufacturing a semiconductor device, in which a high-temperature SOD (spin on dielectric) annealing process is performed to prevent a SOD crack, and a nitride film, serving as a capping layer, is formed over the entire surface of a bit line pattern to prevent a tungsten layer, which is a bit line electrode layer, from being oxidized during the high-temperature annealing process. In a process of forming the bit line pattern, over etching is performed to recess a lower interlayer insulating film such that the thickness of the interlayer insulating film to be etched in a subsequent process, that is, a process of etching a storage node contact hole, is reduced. In this way, it is possible to prevent the storage node contact hole from not being opened.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: October 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jung Seock Lee, Hyun Suk Sung
  • Publication number: 20090263972
    Abstract: A method and apparatus are provided to form spacer materials adjacent substrate structures. In one embodiment, a method is provided for processing a substrate including placing a substrate having a substrate structure adjacent a substrate surface in a deposition chamber, depositing a spacer layer on the substrate structure and substrate surface, and etching the spacer layer to expose the substrate structure and a portion of the substrate surface, wherein the spacer layer is disposed adjacent the substrate structure. The spacer layer may comprise a boron nitride material. The spacer layer may comprise a base spacer layer and a liner layer, and the spacer layer may be etched in a two-step etching process.
    Type: Application
    Filed: March 31, 2009
    Publication date: October 22, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Mihaela Balseanu, Christopher D. Bencher, Yongmei Chen, Li Yan Miao, Victor Nguyen, Isabelita Roflox, Li-Qun Xia, Derek R. Witty
  • Publication number: 20090243041
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a semiconductor device includes a workpiece having a buried layer disposed beneath a top portion thereof. A trench is disposed in the workpiece extending at least through the buried layer. At least one sinker contact is disposed in the top portion of the workpiece. The at least one sinker contact is proximate sidewalls of at least a portion of the trench and is adjacent the buried layer. An insulating material is disposed on the sidewalls of the trench. A conductive material is disposed within the trench and is coupled to a lower portion of the workpiece.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 1, 2009
    Inventors: Karl-Heinz Mueller, Holger Arnim Poehle
  • Patent number: 7595250
    Abstract: There are provided the steps of forming an insulating film over a semiconductor substrate, forming sequentially a first conductive film, a dielectric film, a second conductive film on the insulating film, etching the second conductive film and the dielectric film into a first pattern shape by using a first mask, removing the first mask, and etching simultaneously the first conductive film and the second conductive film having the first pattern shape by using a second mask to form a plurality of capacitor upper electrodes made of the second conductive film and also form a plate line as a capacitor lower electrode, which is covered with the dielectric film having the first pattern shape and has a contact region, made of the first conductive film. Accordingly, a plurality of capacitors can be formed on the capacitor lower electrode with good precision.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: September 29, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yoichi Okita, Genichi Komuro
  • Publication number: 20090239382
    Abstract: Methods for circuit material processing are provided. In at least one such method, a substrate is provided with a plurality of overlying spacers. The spacers have substantially straight inner sidewalls and curved outer sidewalls. An augmentation material is formed on the plurality of spacers such that the inner or the outer sidewalls of the spacers are selectively expanded. The augmentation material can bridge the upper portions of pairs of neighboring inner sidewalls to limit deposition between the inner sidewalls. The augmentation material is selectively etched to form a pattern of augmented spacers having a desired augmentation of the inner or outer sidewalls. The pattern of augmented spacers can then be transferred to the substrate through a series of selective etches such that features formed in the substrate achieve a desired pitch.
    Type: Application
    Filed: March 21, 2008
    Publication date: September 24, 2009
    Applicant: Micron Technology, Inc.
    Inventor: Hongbin Zhu
  • Patent number: 7589024
    Abstract: Recently, with shortened wavelengths employed in aligners, it is now difficult to use a material containing a benzene ring as a photoresist material. Since resist has extremely low plasma resistance, formation of deep holes using a photoresist as a dry etching mask is difficult. Under such circumstances, in the present invention, amorphous carbon film 6 is formed on photoresist 4 in which first hole 5 is formed, and using amorphous carbon film 6 as a mask, deep second hole 7 is formed in a etch target material such as underlying SiO2 film 2.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: September 15, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Yasuhiko Ueda
  • Patent number: 7585773
    Abstract: A semiconductor device is provided wherein at least one offset spacer is reduced and a non-conformal stress liner is thereafter deposited. By depositing the non-conformal stress liner in accordance with the present invention in close stress proximity to the FET, the carrier mobility and the performance of said device is significantly enhanced. The present invention is her directed to a method of fabricating said semiconductor device.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: September 8, 2009
    Assignees: International Business Machines Corporation, Samsung Electronics Co., Ltd.
    Inventors: Sunfei Fang, Jun Jung Kim, Thomas Dyer
  • Patent number: 7585734
    Abstract: Provided are a method of fabricating an improved multi-gate transistor and a multi-gate transistor fabricated using the method, in which an active pattern is formed on a substrate, the active pattern having two or more surfaces on which channel regions are to be formed, a gate insulating layer is formed on the channel regions, and a patterned gate electrode is formed on the gate insulating layer while maintaining a shape conformal to the active pattern.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: September 8, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Woong Kang, Jong-hyon Ahn
  • Publication number: 20090221148
    Abstract: A plasma etching method includes etching a single crystalline silicon layer of a substrate to be processed through a patterned upper layer formed on the single crystalline silicon layer by using a plasma of a processing gas, wherein forming a protection film at a sidewall portion of the upper layer by using a plasma of a carbon-containing gas is carried out before said etching the single crystalline silicon layer.
    Type: Application
    Filed: February 26, 2009
    Publication date: September 3, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuichiro Uda, Yusuke Hirayama
  • Patent number: 7579280
    Abstract: A method of patterning a thin film. The method includes forming a mask on a film to be patterned. The film is then etched in alignment with the mask to form a patterned film having a pair of laterally opposite sidewalls. A protective layer is formed on the pair of laterally opposite sidewalls. Next, the mask is removed from above the patterned film. After removing the mask from the patterned film, the protective layer is removed from the sidewalls.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: August 25, 2009
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Brian S. Doyle, Uday Shah, Robert S. Chau
  • Publication number: 20090209106
    Abstract: A method of forming a seed layer of an interconnect structure includes forming a dielectric layer; forming an opening in the dielectric layer; performing a first deposition step to form the seed layer; and in-situ performing a first etch step to remove a portion of the seed layer. The method may further includes additional deposition and etch steps for forming the seed layer.
    Type: Application
    Filed: February 14, 2008
    Publication date: August 20, 2009
    Inventors: Li-Lin Su, Cheng-Lin Huang, Shing-Chyang Pan, Ching-Hua Hsieh
  • Patent number: 7572733
    Abstract: Gas switching is used during an etch process to modulate the characteristics of the etch. The etch process comprises a sequence of at least three steps, wherein the sequence is repeated at least once. For example, the first step may result in a high etch rate of oxide (108) while the second step is a polymer coating steps and the third step results in a low etch rate of oxide and high etch rate of another material (114) and/or sputtering.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: August 11, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, Francis Celii
  • Publication number: 20090189280
    Abstract: In one embodiment, a method of forming a semiconductor device is disclosed. A high-k dielectric is deposited of over a semiconductor body, and a portion of the high-k dielectric is wet etched an etchant selected from the group consisting of hot phos, piranha, and SC1.
    Type: Application
    Filed: January 30, 2008
    Publication date: July 30, 2009
    Inventors: Daniel Pak-Chum Shum, Alfred Vater, John Power, Wolfram Langheinrich, Ulrike Bewersdorff-Sarlette
  • Patent number: 7557042
    Abstract: Floating gates are formed in two separate polysilicon depositions steps resulting in distinct portions. The first formed portions are between isolation regions. A thick insulator is formed over the isolation regions and floating gate portions. The thick insulator is patterned to leave fences over the isolation regions. A thinning process, an isotropic etch in this example, is applied to these fences to make them thinner. Polysilicon sidewall spacers are formed on the sides of these fences. These sidewall spacers become the second portion of the floating gate. These second portions have the desired shape for significantly increasing the capacitance to the subsequently formed control gates, thereby reducing the gate voltage required for programming and erasing made by a relatively robust process.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: July 7, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Chi Nan Brian Li, Cheong M. Hong, Rana P. Singh
  • Patent number: 7553769
    Abstract: A method and system for treating a dielectric film includes exposing at least one surface of the dielectric film to a CxHy containing material, wherein x and y are each integers greater than or equal to a value of unity. The dielectric film can include a low dielectric constant film with or without pores having an etch feature formed therein following dry etch processing. As a result of the etch processing or ashing, exposed surfaces in the feature formed in the dielectric film can become damaged, or activated, leading to retention of contaminants, absorption of moisture, increase in dielectric constant, etc. Damaged surfaces, such as these, are treated by performing at least one of healing these surfaces to, for example, restore the dielectric constant (i.e., decrease the dielectric constant) and cleaning these surfaces to remove contaminants, moisture, or residue.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: June 30, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Dorel Ioan Toma, Jianhong Zhu, Kazuhiro Hamamoto
  • Publication number: 20090155968
    Abstract: In a method of forming a dielectric layer pattern, lower patterns are formed on a substrate. A first dielectric layer is formed on sidewalls and upper surfaces of the lower patterns and a surface of the substrate. A mask pattern is formed on the first dielectric layer to partially expose the first dielectric layer. The exposed first dielectric layer on upper surfaces and upper sidewalls of the lower patterns is partially removed and the removed first dielectric layer is deposited on surfaces of the first dielectric layer between the lower patterns, to form a second dielectric layer having a thickness greater than that of the first dielectric layer. The second dielectric layer on the sidewalls of the lower patterns and the substrate is etched to form a dielectric layer pattern. Accordingly, damage to the underlying layer may be reduced, and an unnecessary dielectric layer may be completely removed.
    Type: Application
    Filed: December 17, 2008
    Publication date: June 18, 2009
    Inventors: Jae-Ho Min, Dong-Hyun Kim
  • Patent number: 7544617
    Abstract: A method for control of chemical mechanical polishing of a pattern dependant non-uniform wafer surfaces in a die scale wherein the die in the wafer surface have a plurality of zones of different heights and different pattern densities is provided. The method provides for varying pressure applied to the die both spatially and temporally to reduce both local and global step height variations. In one embodiment, pressure is varied both spatially and temporally using a look ahead algorithm. The algorithm looks ahead and recalculates/modifies the pressure values by identifying the step heights that could be formed after a specified time step. The final surface predictions have improved uniformity on the upper surface as well as on the step heights across the entire die.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: June 9, 2009
    Assignee: Iowa State University Research Foundation, Inc.
    Inventors: Abhijit Chandra, Muthukkumar Kadavasal, Sutee Eamkajornsiri
  • Patent number: 7541291
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: June 2, 2009
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Sangheon Lee, Wan-Lin Chen, Eric A. Hudson, S. M. Reza Sadjadi, Gan Ming Zhao
  • Patent number: 7541288
    Abstract: Methods of forming integrated circuit devices include depositing an electrically insulating layer onto an integrated circuit substrate having integrated circuit structures thereon. This deposition step results in the formation of an electrically insulating layer having an undulating surface profile, which includes at least one peak and at least one valley adjacent to the at least one peak. A non-uniform thickening step is then performed. This non-uniform thickening step includes thickening a portion of the electrically insulating layer by redepositing portions of the electrically insulating layer from the least one peak to the at least one valley. This redeposition occurs using a sputter deposition technique that utilizes the electrically insulating layer as a sputter target.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: June 2, 2009
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation, Infineon Technologies AG, Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun-jung Kim, Ja-hum Ku, Jae-eon Park, Sunfei Fang, Alois Gutmann, O-sung Kwon, Johnny Widodo, Dae-won Yang
  • Publication number: 20090115027
    Abstract: A method of fabricating an integrated circuit is disclosed. An etching process is performed in order to create a structure in a substrate. A material layer is generated during the etching process. The material layer is formed from at least one of the group of a Si/C/O composition and/or a Si/metal composition.
    Type: Application
    Filed: November 5, 2007
    Publication date: May 7, 2009
    Inventor: Stephan Wege
  • Publication number: 20090108415
    Abstract: By forming an intermediate etch stop material or by appropriately positioning an additional etch stop material in a spacer structure of a polysilicon line, the probability of exposing a shallow doped region of an active semiconductor region during a critical contact etch step for forming rectangular contacts may be significantly reduced. Thus, leakage current, which may conventionally be created by etching into shallow doped regions during the contact etch step, may be reduced.
    Type: Application
    Filed: April 22, 2008
    Publication date: April 30, 2009
    Inventors: Markus LENSKI, Stephan KRUEGEL, Andreas GEHRING
  • Publication number: 20090104764
    Abstract: A method for forming a structure includes forming at least one feature across a surface of a substrate. A nitrogen-containing dielectric layer is formed over the at least one feature. A first portion of the nitrogen-containing layer on at least one sidewall of the at least one feature is removed at a first rate and a second portion of the nitrogen-containing layer over the substrate adjacent to a bottom region of the at least one feature is removed at a second rate. The first rate is greater than the second rate. A dielectric layer is formed over the nitrogen-containing dielectric layer.
    Type: Application
    Filed: October 22, 2007
    Publication date: April 23, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Mihaela Balseanu, Victor Nguyen, Derek R. Witty, Hichem M'Saad, Haichun Yang, Xinliang Lu, Chien-Teh Kao, Mei Chang
  • Patent number: 7521322
    Abstract: Vertical transistors for memory cells, such as 4F2 memory cells, are disclosed. The memory cells use digit line connections formed within the isolation trench to connect the digit line with the lower active area. Vertical transistor pillars can be formed from epitaxial silicon or etched from bulk silicon. Memory cells can be formed by creating a cell capacitor electrically connected to each transistor pillar.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanh Dang Tang, Gordon A. Haller
  • Patent number: 7517806
    Abstract: A method and structure for an integrated circuit structure that utilizes complementary fin-type field effect transistors (FinFETs) is disclosed. The invention has a first-type of FinFET which includes a first fin, and a second-type of FinFET which includes a second fin running parallel to the first fin. The invention also has an insulator fin positioned between the source/drain regions of the first first-type of FinFET and the second-type of FinFET. The insulator fin has approximately the same width dimensions as the first fin and the second fin, such that the spacing between the first-type of FinFET and the second-type of FinFET is approximately equal to the width of one fin. The invention also has a common gate formed over channel regions of the first-type of FinFET and the second-type of FinFET. The gate includes a first impurity doping region adjacent the first-type of FinFET and a second impurity doping region adjacent the second-type of FinFET.
    Type: Grant
    Filed: July 21, 2005
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, William F. Clark, Jr., David M. Fried, Mark D. Jaffe, Edward J. Nowak, John J. Pekarik, Christopher S. Putnam
  • Publication number: 20090093121
    Abstract: In a method for fabricating a fine pattern, a target layer to be patterned is formed on a semiconductor substrate. A sacrificial pattern is formed on the target layer. The sacrificial pattern includes first sacrificial patterns arranged at a first spacing, and second and third sacrificial patterns arranged in pairs at a second spacing less than the first spacing. A spacer having a first portion and a second portion is formed. The first portion is attached to sidewalls of the first sacrificial patterns, and the second portion is attached on both facing sides of the second and third sacrificial patterns to fill a gap defined by the second spacing. The second portion has a critical dimension greater than the first portion. The sacrificial pattern is selectively removed.
    Type: Application
    Filed: April 17, 2008
    Publication date: April 9, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jae In Moon
  • Patent number: 7510967
    Abstract: The present invention relates to a method for manufacturing a semiconductor device, comprising: forming a metal interconnect on a substrate; forming a refractory metal layer containing titanium (Ti) or tantalum (Ta) on a surface of the metal interconnect; forming an insulating interlayer so as to cover the refractory metal layer; selectively etching the insulating interlayer with an etchant gas containing an organic fluoride to form a hole, in which the refractory metal layer is exposed; treating an interior of the hole with an organic chemical solution to remove fluorinated compounds of Ti or Ta while leaving fluorocarbons on the surface of the refractory metal layer, the fluorinated compounds of Ti or Ta and the fluorocarbons being created during the etching step and present in the interior of the hole; and performing plasma-treatment for the interior of said hole to remove the fluorocarbon.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: March 31, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Kousei Ushijima
  • Patent number: 7510919
    Abstract: The invention relates to a thin film having a thickness of less than 10 nm, made of oxidizable semi-conductor material and patterned in the form of patterns. To prevent the dewetting phenomenon of said patterns, lateral oxidized zones are arranged at the periphery of each pattern of the thin film so as to form an anchoring. This anchoring can be achieved by forming an oxide layer over the whole of the thin film and then depositing a nitride layer. Then the nitride and oxide layers and the thin film are patterned and the thin film is laterally oxidized so that each pattern of the thin film comprises, at the periphery thereof, an oxidized zone of predetermined width. The nitride and oxide layers are then removed so as to release the patterns oxidized at their periphery.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: March 31, 2009
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Charles Barbe, Maud Vinet, Béatrice Drevet, Carine Jahan
  • Publication number: 20090081872
    Abstract: The invention provides an etching method having selectivity of a high-K material such as Al2O3 to polysilicon or hard mask. The present invention provides a method for manufacturing a semiconductor device by etching, using a plasma etching apparatus, a sample including an interlayer insulating layer 14 formed of a high-K material such as Al2O3 of a hard mask 11 and a Poly-Si layer 15 in contact with the interlayer insulating layer, wherein the method includes etching the high-K material 14 using BCl3, He and HBr while setting a temperature of a sample stage to normal temperature and applying a time-modulated high bias voltage, and repeating said etching process and a deposition process using SiCl4, BCl3 and He.
    Type: Application
    Filed: January 24, 2008
    Publication date: March 26, 2009
    Inventors: Hitoshi Kobayashi, Masamichi Sakaguchi, Koichi Nakaune, Masunori Ishihara
  • Patent number: 7507669
    Abstract: A device includes a top layer having at least two opposing faces, and at least two epitaxially deposited layers, each of the at least two epitaxially deposited layers situated on a respective one of the at least two opposing faces, a combined thickness of the at least two epitaxially deposited layers tuning a gap between the at least two opposing faces.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: March 24, 2009
    Assignee: Robert Bosch GmbH
    Inventors: Aaron Partridge, Markus Lutz
  • Patent number: 7507674
    Abstract: A method for manufacturing a memory device including a resistance change layer as a storage node according to example embodiment(s) of the present invention and a memory device made by the method(s) are provided. Pursuant to example embodiments of the present invention, the method may include stacking (sequentially or otherwise) a conductive material layer, a diode layer and a data storage layer on a bottom layer, forming a first material layer on the data storage layer, forming a first hole exposing the data storage layer in the first material layer, forming a first spacer with a second material layer on the sidewall of the first hole, filling the first hole with a third material layer and covering the first spacer; removing the first material layer, forming a second spacer with a fourth material layer on the sidewall of the first spacer; removing the third material layer, and forming a second hole exposing the bottom layer in a first stack structure using the first and second spacers as a mask.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: March 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Dong Park, Won-Joo Kim, Sang-Hun Jeon
  • Patent number: 7504339
    Abstract: A trench structure in a wafer of semiconductor material and the method of forming the trench structure are described. The trench structure is formed on a semiconductor wafer that has a top surface of slow oxidization rate—slower than that of other major crystallographic planes of the semiconductor material. The trench is etched into the semiconductor wafer. The trench has substantially vertical trench-sidewalls near the top surface, the vertical trench-sidewalls near the top surface containing crystallographic plane that oxidizes at a rate comparable to that of the top surface. An insulating layer is grown on the top surface and on the trench-sidewalls and on corners where sidewall surfaces approach the top surface, the insulating layer at the corners being substantially thicker than at the sidewall adjacent to the corners. The difference in the oxide thickness is due to the faster oxidizing planes exposed at the corners. Finally, the trench is filled with a dielectric material.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: March 17, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Zhihao Chen, Freidoon Mehrad, Brian K. Kirkpatrick, Jeff A. White, Edmund G. Russell, Jon Holt, Jason D. Mehigan
  • Patent number: 7491647
    Abstract: A method for etching a feature in an etch layer is provided. A patterned photoresist mask is formed over the etch layer with photoresist features with sidewalls wherein the sidewalls of the photoresist features have striations forming peaks and valleys. The striations of the sidewalls of the photoresist features are reduced. The reducing the striations comprises at least one cycle, wherein each cycle comprises etching back peaks formed by the striations of the sidewalls of the photoresist features and depositing on the sidewalls of the photoresist features. Features are etched into the etch layer through the photoresist features. The photoresist mask is removed.
    Type: Grant
    Filed: September 9, 2005
    Date of Patent: February 17, 2009
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Peter Cirigliano, Ji Soo Kim, Zhisong Huang, Eric A. Hudson
  • Publication number: 20090042395
    Abstract: A two-step spacer etch is used for the formation of a spacer in CMOS fabrication. A dry etch is first applied to remove part of the spacer material on the silicon substrate and leave a thin layer of the spacer material remained on the silicon substrate. Then, a wet etch is applied to completely remove the thin layer of the spacer material on the silicon substrate. The wet etch has good etch selectivity between the spacer material and silicon, and thus will not damage the surface of the silicon substrate when the spacer is formed. Therefore, the BJT on the silicon substrate is prevented from junction leakage.
    Type: Application
    Filed: October 14, 2008
    Publication date: February 12, 2009
    Inventors: Chien-Ling Chan, Jing-Meng Liu, Hung-Der Su
  • Patent number: 7488687
    Abstract: Methods of forming electrical interconnect structures include forming a dielectric layer on a semiconductor substrate and forming a hard mask layer on the dielectric layer. A photoresist layer is patterned on an upper surface of the hard mask layer. This patterned photoresist layer is used as an etching mask during a step to selectively etch the hard mask layer and define an opening therein. This opening exposes the first dielectric layer. The patterned photoresist layer is then stripped from the hard mask layer using an ashing process that exposes the upper surface of the hard mask layer. Following this ashing process, a portion of the first dielectric layer extending opposite the opening is selectively etched using the hard mask layer as an etching mask. During this selective etching step, polymer residues are accumulated directly on the upper surface of the hard mask layer.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: February 10, 2009
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing, Ltd., International Business Machines Corporation
    Inventors: Wan Jae Park, Jae Hak Kim, Tong Qing Chen, Yi-hsiung Lin
  • Patent number: 7485579
    Abstract: In performing an anisotropic etching process after a taper etching process of a gate conductive layer of a two-layer or three-layer laminated structure, a portion that is not etched is left at an edge of a second conductive film to shorten an LDD region. It is an object to make the LDD region longer by reducing or removing the left portion that is not etched. After a taper etching process of a gate conductive layer of a two-layer or three-layer laminated structure, an argon plasma treatment is performed. With this argon plasma treatment, a reactive organism in the taper etching process is removed, and it becomes possible to reduce or remove the left portion that is not etched in the anisotropic etching to be performed next.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: February 3, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinya Sasagawa, Takashi Yokoshima, Shigeharu Monoe
  • Publication number: 20090026584
    Abstract: A method for manufacturing a semiconductor device which includes fine patterns having various critical dimensions (CDs) by adjusting a thickness of spacer used as an etching mask in Spacer Patterning Technology (SPT). The method for manufacturing a semiconductor device includes forming spacers at a different level over an etching target layer and etching the etching target layer exposed among the spacers.
    Type: Application
    Filed: June 5, 2008
    Publication date: January 29, 2009
    Inventors: Dong Sook Chang, Hyoung Soon Yune
  • Patent number: 7481943
    Abstract: A method suitable for etching hydrophilic trenches into a substrate, such as silicon, is provided. The method comprises etching and sidewall passivation processes for achieving anisotropy. Sidewalls of the etched trench are made hydrophilic during the etch by virtue of a hydrophilizing dopant in a passivating gas plasma. The method is useful for etching ink supply channels in inkjet printheads.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: January 27, 2009
    Assignee: Silverbrook Research Pty Ltd
    Inventors: Gregory John McAvoy, Darrell LaRue McReynolds, Kia Silverbrook
  • Publication number: 20090017576
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Patent number: 7476329
    Abstract: A method for contacting an electrically conductive layer overlying a magnetoelectronics element includes forming a memory element layer overlying a dielectric region. A first electrically conductive layer is deposited overlying the memory element layer. A first dielectric layer is deposited overlying the first electrically conductive layer and is patterned and etched to form a first masking layer. Using the first masking layer, the first electrically conductive layer is etched. A second dielectric layer is deposited overlying the first masking layer and the dielectric region. A portion of the second dielectric layer is removed to expose the first masking layer. The second dielectric layer and the first masking layer are subjected to an etching chemistry such that the first masking layer is etched at a faster rate than the second dielectric layer. The etching exposes the first electrically conductive layer.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: January 13, 2009
    Assignee: EverSpin Technologies, Inc.
    Inventors: Gregory W. Grynkewich, Brian R. Butcher, Mark A. Durlam, Kelly Kyler, Charles A. Synder, Kenneth H. Smith, Clarence J. Tracy, Richard Williams
  • Publication number: 20090004867
    Abstract: A method of fabricating patterns of a semiconductor device includes the steps of forming first sacrificial layer patterns over a pattern target layer; forming first spacers on sidewalls of the first sacrificial layer patterns; forming a second sacrificial layer pattern over the first sacrificial layer patterns and the first spacers such that at least one of the first spacers is exposed by the second sacrificial layer pattern; forming a dual spacer by forming a second spacer on the exposed first spacer; removing the second sacrificial layer pattern and the first sacrificial layer patterns; and forming a first pattern having a first pitch defined by the first spacers and a second pattern having a second pitch defined by the dual spacer by etching an exposed portion of the pattern target layer using the first spacers and the dual spacer as etching masks.
    Type: Application
    Filed: December 4, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hyoung Soon Yune
  • Publication number: 20080315746
    Abstract: A method of manufacturing a fine structure capable of accurately controlling formation positions of tubular structures made of carbon or the like is provided. Column-shaped protrusions (11) are formed on a substrate (10). Next, a catalyst material (20) such as iron (Fe) is adhered to the substrate (10). Subsequently, by providing the substrate (10) with heat treatment, the catalyst material (20) is melted and agglomerated on the side faces (11A) of the protrusions (11), and thereby cyclic catalyst patterns made of the catalyst material (20) are formed on the side faces (11A) of the protrusions (11). After that, tubular structures (30) in a state of tube are grown by using the catalyst patterns. The tubular structures (30) become carbon (nano) pipes, which are raised from the side faces (11A) of the protrusions (11) and whose ends (30A) are opened. The tubular structures (30) can be formed correspondingly to the positions of the protrusions (11) accurately.
    Type: Application
    Filed: August 31, 2004
    Publication date: December 25, 2008
    Inventors: Dharam Pal Gosain, Hisashi Kajiura, Yosuke Murakami, Masafumi Ata
  • Publication number: 20080311754
    Abstract: A method of improving pattern loading in a deposition of a silicon oxide film is described. The method may include providing a deposition substrate to a deposition chamber, and adjusting a temperature of the deposition substrate to about 250° C. to about 325° C. An ozone containing gas may be introduced to the deposition chamber at a first flow rate of about 1.5 slm to about 3 slm, where the ozone concentration in the gas is about 6% to about 12%, by wt. TEOS may also be introduced to the deposition chamber at a second flow rate of about 2500 mgm to about 4500 mgm. The deposition rate of the silicon oxide film is controlled by a reaction rate of a reaction of the ozone and TEOS at a deposition surface of the substrate.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 18, 2008
    Applicant: Applied Materials, Inc.
    Inventors: BALAJI CHANDRASEKARAN, Douglas E. Manning, Nitin K. Ingle, Rong Pan, Zheng Yuan, Sidharth Bhatia
  • Publication number: 20080305635
    Abstract: A method for fabricating a patter is provided as followed. First, a material layer is provided, whereon a patterned hard mask layer is formed. A spacer is deposited on the sidewalls of the patterned hard mask layer. Then, the patterned hard mask layer is removed, and an opening is formed between the adjacent spacers. Afterwards, a portion of the material layer is removed to form a patterned material layer by using the spacer as mask.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Shih-Chang Tsai, Chun-Hung Lee, Ming-Cheng Deng, Ta-Hung Yang
  • Publication number: 20080305636
    Abstract: There are provided a method of forming a fine pattern employing self-aligned double patterning. The method includes providing a substrate. First mask patterns are formed on the substrate. A reactive layer is formed on the substrate having the first mask patterns. The reactive layer adjacent to the first mask patterns is reacted using a chemical attachment process, thereby forming sacrificial layers along outer walls of the first mask patterns. The reactive layer that is not reacted is removed to expose the sacrificial layers. Second mask patterns are formed between the sacrificial layers adjacent to sidewalls of the first mask patterns facing each other. The sacrificial layers are removed to expose the first and second mask patterns and the substrate exposed between the first and second mask patterns. The substrate is etched using the first and second mask patterns as an etching mask.
    Type: Application
    Filed: June 3, 2008
    Publication date: December 11, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyoung-Mi KIM, Jae-Ho KIM, Young-Ho KIM, Myung-Sun KIM, Youn-Kyung WANG, Mi-Ra PARK
  • Patent number: 7462504
    Abstract: A surface-emitting type light-emitting diode includes a substrate, a p-n junction layer elevated on a portion of the substrate to emit light, and a first isolator layer formed on a sidewall of the p-n junction layer as well as a periphery portion of a top surface of the p-n junction layer except for a central region of the top surface.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: December 9, 2008
    Assignee: LG Electronics Inc.
    Inventors: Kie Young Lee, Shi Jong Leem
  • Publication number: 20080296732
    Abstract: Methods of isolating spaces formed between features in an array during a pitch reduction process and semiconductor device structures having the same. In one embodiment, ends of the features are wider than middle regions of the features. During the pitch reduction process, spacer sidewalls formed between adjacent ends of the features come into substantial contact with one another, isolating the spaces between the features. In another embodiment, the features have a single width and an additional feature is located near ends of the features. Spacer sidewalls formed between adjacent features and the additional feature come into substantial contact with one another, isolating the spaces between the features.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Adam L. Olson
  • Publication number: 20080299774
    Abstract: Self-assembling materials, such as block copolymers, are used as mandrels for pitch multiplication. The copolymers are deposited over a substrate and directed to self-assemble into a desired pattern. One of the blocks forming the block copolymers is selectively removed. The remaining blocks are used as mandrels for pitch multiplication. Spacer material is blanket deposited over the blocks. The spacer material is subjected to a spacer etch to form spacers on sidewalls of the mandrels. The mandrels are selectively removed to leave free-standing spacers. The spacers may be used as pitch-multiplied mask features to define a pattern in an underlying substrate.
    Type: Application
    Filed: June 4, 2007
    Publication date: December 4, 2008
    Applicant: Micron Technology, Inc.
    Inventor: Gurtej Sandhu
  • Patent number: 7455893
    Abstract: A method and apparatus for depositing a conformal dielectric layer employing a dep-etch technique features selectively reducing the flow of deposition gases into a process chamber where a substrate having a stepped surface to be covered by the conformal dielectric layer is disposed. By selectively reducing the flow of deposition gases into the process chamber, the concentration of a sputtering gas, from which a plasma is formed, in the process chamber is increased without increasing the pressure therein. It is preferred that the flow of deposition gases be periodically terminated so as to provide a sputtering gas concentration approaching 100%. In this fashion, the etch rate of a conformal dielectric layer having adequate gap-filling characteristics may be greatly increased, while allowing an increase in the deposition rate of the same.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: November 25, 2008
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Publication number: 20080268646
    Abstract: A reduced area dynamic random access memory (DRAM) cell and method for fabricating the same wherein the cell occupies an area smaller than one photolithography pitch by two photolithography pitches through the formation of sidewall spacers along a first pattern to define a first portion of the active region of the memory cell and a second orthogonally oriented pattern to define a second portion of the active region of the memory cell thereby creating a ladder shaped active region for a column of the memory cells.
    Type: Application
    Filed: July 7, 2008
    Publication date: October 30, 2008
    Applicant: ProMOS Technologies PET.LTD.
    Inventors: Douglas Blaine Butler, Chia-Shun Hsiao, Jung-Wu Chien, Chih-Hsun Chu
  • Publication number: 20080258308
    Abstract: An interconnect stack and a method of manufacturing the same wherein the interconnect has vertical sidewall vias. The interconnect stack includes a substrate, a metal interconnect formed in the substrate, an etch stop formed on the substrate and the metal interconnect, and an interlayer dielectric (ILD) layer having at least one via formed therein extending through a transition layer formed on the etch stop layer. The via is formed by etching the ILD to a first depth and ashing the interconnect stack to modify a portion of the ILD between the portion of the via formed by etching and the transition layer. Ashing converts this portion of the ILD to an oxide material. The method includes wet etching the interconnect to remove the oxide material and a portion of the transition layer to form a via extending through the ILD to the etch stop layer.
    Type: Application
    Filed: April 23, 2007
    Publication date: October 23, 2008
    Inventors: Wuping Liu, Johnny Widodo, Teck Jung Tang, Jing Hui Li, Han Wah Ng, Larry A. Clevenger, Hermann Wendt