Plural Coating Steps Patents (Class 438/702)
  • Patent number: 11978660
    Abstract: According to one embodiment, an original plate for imprint lithography has a first surface side having a patterned portion thereon. The patterned portion includes a groove having a bottom surface recessed from a first surface to a first depth, and a columnar portion on the bottom surface and protruding from the bottom surface to extend beyond the first surface. The original plate may be used to form replica templates by imprint lithography processes. The replica templates can be used in semiconductor device manufacturing processes or the like.
    Type: Grant
    Filed: June 7, 2023
    Date of Patent: May 7, 2024
    Assignee: Kioxia Corporation
    Inventor: Hirotaka Tsuda
  • Patent number: 11871577
    Abstract: According to one embodiment, a semiconductor storage device includes a substrate; a stacked body provided above the substrate, wherein the stacked body includes a plurality of first insulating layers and a plurality of conductive layers that are alternately stacked on top of one another along a vertical direction; a plurality of columnar portions that penetrate the stacked body; a first slit, provided in the vertical direction, that divides one or more of the plurality of conductive layers at least at an upper portion of the stacked body; and a second insulating layer that overlays an opening of the first slit, which forms a cavity.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: January 9, 2024
    Assignee: KIOXIA CORPORATION
    Inventors: Takayuki Kashima, Hiroyasu Sato
  • Patent number: 11791163
    Abstract: A manufacturing method of a semiconductor structure includes: providing a target layer; forming a plurality of first mask patterns on a top surface of the target layer; forming a plurality of second mask patterns above the target layer, where each of the second mask patterns covers at least a part of a top surface of each of the first mask patterns and a part of the top surface of the target layer in an extension direction of the second mask pattern; performing a first etching on the target layer based on the second mask patterns; removing the second mask patterns; and performing a second etching on the target layer based on the first mask patterns.
    Type: Grant
    Filed: June 19, 2022
    Date of Patent: October 17, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Yulei Wu
  • Patent number: 11670636
    Abstract: A semiconductor device includes a substrate having first and second regions, first fin groups spaced along a first direction on the first region, each of the first fin groups including adjacent first and second fins having longitudinal directions in a second direction intersecting the first direction, and third to fifth fins spaced along a third direction on the second region, the third to fifth fins having longitudinal directions in a fourth direction intersecting the third direction. The third through fifth fins are at a first pitch, the first and second fins are at a second pitch equal to or smaller than the first pitch, each of the first fin groups is at a first group pitch greater than three times the first pitch and smaller than four times the first pitch, and a width of the first and second fins is same as width of the third fin.
    Type: Grant
    Filed: January 18, 2022
    Date of Patent: June 6, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Tae Yong Kwon, Byoung-Gi Kim, Ki Hwan Lee, Jung Han Lee
  • Patent number: 11532483
    Abstract: A method may include forming in a substrate a first array of a first material of first linear structures, interspersed with a second array of a second material, of second linear structures, the first and second linear structures elongated along a first axis. The method may include generating a chop pattern in the first layer, comprising a third linear array, interspersed with a fourth linear array. The third and fourth linear arrays may be elongated along a second axis, forming a non-zero angle of incidence with respect to the first axis. The third linear array may include alternating portions of the first and second material, while the fourth linear array comprises an array of cavities, arranged within the patterning layer. The method may include elongating a first set of cavities along the first axis, to form a first set of elongated cavities bounded by the first material.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: December 20, 2022
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Sony Varghese
  • Patent number: 11527408
    Abstract: The present disclosure provides forming nanostructures utilizing multiple patterning process with good profile control and feature transfer integrity. In one embodiment, a method for forming features on a substrate includes forming a first mandrel layer on a material layer disposed on a substrate. A first spacer layer is conformally formed on sidewalls of the first mandrel layer, wherein the first spacer layer comprises a doped silicon material. The first mandrel layer is selectively removed while keeping the first spacer layer. A second spacer layer is conformally formed on sidewalls of the first spacer layer and selectively removing the first spacer layer while keeping the second spacer layer.
    Type: Grant
    Filed: May 5, 2020
    Date of Patent: December 13, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Tzu-shun Yang, Rui Cheng, Karthik Janakiraman, Zubin Huang, Diwakar Kedlaya, Meenakshi Gupta, Srinivas Guggilla, Yung-chen Lin, Hidetaka Oshio, Chao Li, Gene Lee
  • Patent number: 11508705
    Abstract: A method of manufacturing the light-emitting device includes providing a structure body, mounting the structure body, removing a third substrate region of a silicon substrate of the structure body, disposing a resin layer, disposing a first mask member, removing a first substrate region of the silicon substrate, disposing a first wavelength conversion layer, removing the first mask member, and removing a second substrate region of the silicon substrate.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: November 22, 2022
    Assignee: NICHIA CORPORATION
    Inventor: Hirofumi Nishiyama
  • Patent number: 11492708
    Abstract: The present disclosure relates to a cold spray metal process for imparting electromagnetic interference (EMI) resistance or lightning protection to the surface of a polymer, and a polymer with surface EMI resistance, or lightning protection, articles coated therefrom, and methods of reducing or eliminating electrochemical interactions between the metallic coating and components of the polymer.
    Type: Grant
    Filed: December 10, 2018
    Date of Patent: November 8, 2022
    Assignee: THE BOEING COMPANY
    Inventors: Eric A. Bruton, Stephen P. Gaydos
  • Patent number: 11366386
    Abstract: A patterning process, including: forming the first resist film from first resist material containing an acid generator and thermosetting compound having a hydroxy group and/or carboxy group protected by an acid-labile group; forming the second resist film on first resist film from a second resist material containing a metal compound (A) and a sensitizer; irradiating the first and second resist film with a high energy beam or an electron beam to perform pattern exposure to deprotect the hydroxy group and/or carboxy group in a pattern exposed portion of first resist film and to form a crosslinked portion of the component (A) with the deprotected hydroxy and/or carboxy group on the pattern exposed portion; and developing the second resist film with a developer to give a metal film pattern composed of the crosslinked portion. This provides a method for forming a thin film resist pattern with higher resolution and higher sensitivity.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: June 21, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsukasa Watanabe, Tsutomu Ogihara
  • Patent number: 11276607
    Abstract: Methods and structures for forming vias are provided. The method includes forming a structure that includes an odd line hardmask and an even line hardmask. The odd line hardmask and the even line hardmask include different hardmask materials that have different etch selectivity with respect to each other. The method includes patterning vias separately into the odd line hardmask and the even line hardmask based on the different etch selectivity of the different hardmask materials. The method also includes forming via plugs at the vias. The method includes cutting even line cuts and odd line cuts into the structure. The even line cuts and the odd line cuts are self-aligned with the vias. The vias are formed at line ends of the structure.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: March 15, 2022
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Ashim Dutta, Dominik Metzler, Timothy M. Philip, Sagarika Mukesh
  • Patent number: 11231649
    Abstract: A patterning process, including steps of: forming the first resist film from the first resist material containing a thermosetting compound having a hydroxy group and/or a carboxy group each protected by an acid-labile group, an acid generator, and a sensitizer; irradiating the first resist film with a high energy beam or an electron beam to perform pattern exposure to deprotect the hydroxy group and/or carboxy group in a pattern exposed portion; forming the second resist film from second resist material containing (A) metal compound on the first resist film, and forming a crosslinked portion wherein the component (A) and deprotected hydroxy group and/or deprotected carboxy group are crosslinked on the pattern exposed portion; and developing the second resist film with a developer to give a metal film pattern composed of the crosslinked portion. This provides a method for forming a thin film resist pattern with higher resolution and higher sensitivity.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: January 25, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsukasa Watanabe, Tsutomu Ogihara
  • Patent number: 11189469
    Abstract: An etching method for etching an organic film on a substrate inside a processing container includes controlling a temperature of the substrate to be at most ?35° C., and supplying a gas containing O into an inside of the processing container.
    Type: Grant
    Filed: March 28, 2019
    Date of Patent: November 30, 2021
    Assignee: Tokyo Electron Limited
    Inventor: Yuki Azuma
  • Patent number: 11145519
    Abstract: The present disclosure provides a method for forming patterns in a semiconductor device. In accordance with some embodiments, the method includes providing a substrate and a patterning-target layer formed over the substrate; forming a first cut pattern in a first hard mask layer formed over the patterning-target layer; forming a second cut pattern in a second hard mask layer formed over the patterning layer, the first hard mask layer having a different etching selectivity from the second hard mask layer; selectively removing a portion of the second cut pattern in the second hard mask layer and a portion of the patterning-target layer within a first trench; and selectively removing a portion of the first cut pattern in the first hard mask layer and a portion of the patterning-target layer within a second trench.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: October 12, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Shih-Ming Chang
  • Patent number: 11127589
    Abstract: A method for forming a dielectric film containing a Si—O bond a trench formed in an upper surface of a substrate, includes: designing a topology of a final dielectric film containing a Si—O bond formed in the trench by preselecting a target portion to be selectively removed relative to a non-target portion of an initial dielectric film resulting in the final dielectric film; conformally depositing the initial dielectric film on the upper surface and in the trench; and relatively increasing an amount of impurities contained in the target portion of the initial dielectric film relative to an amount of impurities contained in the non-target portion of the initial dielectric film to obtain a treated dielectric film, thereby giving the target portion and the non-target portion different chemical resistance properties when subjected to etching.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: September 21, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Masaru Zaitsu, Atsuki Fukazawa
  • Patent number: 11121026
    Abstract: Methods of patterning openings for conductive contacts in a target layer of a semiconductor device and methods of forming conductive contacts. The method of patterning openings may be used to form contact openings in an inter-layer dielectric (ILD) layer of a semiconductor substrate for contacts to source/drain regions of FinFET devices. A hard mask layer may be patterned to form a cut mask by transferring slotted openings of a first middle layer of a tetra-layer photoresist and a cut MD pattern of a photoresist layer formed over the first middle layer of the tetra-layered photoresist using photolithography techniques. Once the cut mask is formed, contact openings are formed within the ILD layer down to the source/drain regions of the FinFET devices of the semiconductor substrate. The contact openings may be filled with conductive material(s) to define conductive contacts (e.g., conductive plugs).
    Type: Grant
    Filed: December 3, 2018
    Date of Patent: September 14, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Lien Huang
  • Patent number: 11037788
    Abstract: The present disclosure relates to a method for creating regions of different device types. The substrate is divided into a first device region and a second device region. A target etch layer is formed on a substrate. A bottom mandrel layer is formed on the target etch layer. A plurality of first pillars of a top mandrel material is formed on the bottom mandrel layer in the first device region, having a first pitch. A plurality of first spacers is formed along sidewalls of each of the plurality of first pillars. An optical planarization layer (OPL) is formed over the plurality of first pillars, the plurality of first spacers, and a top surface of the bottom mandrel layer in the first device region. A plurality of second pillars of the top mandrel material is formed on the bottom mandrel layer in the second device region, having a second pitch.
    Type: Grant
    Filed: November 18, 2019
    Date of Patent: June 15, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Min Gyu Sung, Sony Varghese
  • Patent number: 11037787
    Abstract: A method of fabricating a semiconductor device is disclosed. The method includes forming a dielectric layer over a substrate, forming a hard mask (HM) layer over the dielectric layer, forming a fin trench through the HM layer and the dielectric layer and extending down to the substrate, forming a semiconductor feature in the fin trench and removing the HM layer to expose an upper portion of the semiconductor feature to form fin features.
    Type: Grant
    Filed: October 14, 2019
    Date of Patent: June 15, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsung-Yao Wen, Angus Hsiao
  • Patent number: 11024509
    Abstract: A method of fabricating a semiconductor device is provided. The method includes: forming mask patterns on a substrate, the mask patterns including a first mask fin pattern, a second mask fin pattern and a dummy mask pattern between the first mask fin pattern and the second mask fin pattern; forming a first fin pattern, a second fin pattern and a dummy fin pattern by etching the substrate using the mask patterns; and removing the dummy fin pattern, wherein the dummy mask pattern is wider than each of the first mask fin pattern and the second mask fin pattern.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: June 1, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chong Kwang Chang, Dong Hoon Khang, Sug Hyun Sung, Min Hwan Jeon
  • Patent number: 10985260
    Abstract: A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: April 20, 2021
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Andrew M. Greene, Balasubramanian Pranatharthiharan, Ruilong Xie
  • Patent number: 10978308
    Abstract: method of manufacturing a semiconductor device capable of manufacturing a miniaturized semiconductor device is provided. The method of manufacturing a semiconductor device according to an embodiment includes the steps of: preparing a semiconductor substrate having a first surface and a second surface which is an opposite surface of the first surface; forming a hard mask having an opening on the first surface; forming a gate trench extending toward the second surface on the first surface using the hard mask as a mask; widening the width of the opening; filling the opening with an interlayer insulating film; and forming a contact hole in the interlayer insulating film by removing the hard mask.
    Type: Grant
    Filed: May 9, 2019
    Date of Patent: April 13, 2021
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Masaaki Kanazawa
  • Patent number: 10854467
    Abstract: A semiconductor device and fabrication method thereof are provided. The fabrication method include: providing a to-be-etched material layer; forming a plurality of discrete sacrificial layers on the to-be-etched material layer; forming first initial spacers on sidewalls of each sacrificial layer, where each first initial spacer includes a first bottom region and a first top region on the first bottom region; removing the sacrificial layers; removing the first bottom region of the first initial spacer to form a first spacer from the first top region; forming second spacers on sidewalls of each first spacer; removing the first spacer; and etching the to-be-etched material layer by using the second spacers as an etch mask.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: December 1, 2020
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Hai Yang Zhang, Yan Wang, Xin Jiang
  • Patent number: 10734228
    Abstract: Embodiments are disclosed for processing microelectronic workpieces to apply stress engineering to self-aligned multi-patterning (SAMP) processes. The disclosed processing methods utilize stress in a substrate in a SAMP process to improve resulting pattern parameters. Initially, a high stress film is deposited on the frontside and the backside of the substrate, and the high stress film provides biaxial stress to the substrate due to the deposition process for the high stress film. Next, a SAMP process is performed to form spacers in a spacer pattern. This spacer pattern is then transferred to underlying layers to form a patterned structure. The high stress film provides axial stress in at least one direction along a portion of the patterned structure during the pattern transfer thereby improving resulting pattern formation.
    Type: Grant
    Filed: December 6, 2018
    Date of Patent: August 4, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Eric Chih-Fang Liu, Akiteru Ko, David L. O'Meara
  • Patent number: 10692728
    Abstract: Methods of forming and processing semiconductor devices which utilize the selective etching of aluminum oxide over silicon oxide and/or silicon nitride are described. Certain embodiments relate to the formation of fin-etched substrates. Other embodiments relate to the removal of source drain caps from substrates. Further embodiments relate to the processing of substrates comprising vias and/or metal contacts with bottom etch stop layers and/or liner layers.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: June 23, 2020
    Assignee: MICROMATERIALS LLC
    Inventors: Qingjun Zhou, Ying Zhang, Yung-Chen Lin
  • Patent number: 10622256
    Abstract: A method of manufacturing a semiconductor device may include forming a sacrificial layer on a substrate including a first region and a second region, forming a first pattern on the sacrificial layer of the second region, forming a second pattern on the sacrificial layer of the first region, forming first upper spacers on opposite sidewalls of the second pattern, removing the second pattern, etching the first sacrificial layer of the first region using the first upper spacers as an etch mask to form a third pattern, etching the first sacrificial layer of the second region using the first pattern as an etch mask to form a fourth pattern, forming first lower spacers at either side of the third pattern, forming second spacers on opposite sidewalls of the fourth pattern, removing the third pattern and the fourth pattern, and etching the substrate using the first lower spacers and the second spacers as etch masks.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: April 14, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Jin Mun, Dong-Hoon Khang, Woo-Ram Kim, Cheol Kim, Dong-Seok Lee, Yong-Joon Choi, Seung-Mo Ha, Do-Hyoung Kim
  • Patent number: 10600654
    Abstract: An etching process method is provided that includes outputting a first high frequency power of a first frequency from a first high frequency power supply, and outputting a second high frequency power of a second frequency, which is lower than the first high frequency, from a second high frequency power supply in an cryogenic temperature environment where a substrate temperature is controlled to be less than or equal to ?35° C.; generating a plasma by adding a hydrocarbon gas containing at least 3 carbon atoms to an etching gas containing carbon, hydrogen, and fluorine; and etching a silicon oxide film or a laminated film made up of laminated layers of silicon-containing films having different compositions using the generated plasma.
    Type: Grant
    Filed: June 9, 2017
    Date of Patent: March 24, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Maju Tomura, Jin Kudo, Yoshinobu Ohya
  • Patent number: 10424610
    Abstract: A capacitor, an image sensor circuit and fabricating methods are provided. The method includes providing a base substrate including a trench region and a body region adjacent to the trench region. The method also includes forming a first trench structure and a second trench structure on the first trench structure, in the base substrate in the trench region. In addition, the method includes forming a dielectric layer on a sidewall surface and a bottom surface of the first trench structure and an electrode layer on the dielectric layer in the first trench structure. Further, the method includes forming an isolation layer filling the second trench structure.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: September 24, 2019
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Xin Liang, Chong Wang
  • Patent number: 10410861
    Abstract: Methods for void-free material filling of fine recessed features have been disclosed in various embodiments. According to one embodiment, the method includes a) providing a substrate containing a recessed feature having an opening, a sidewall and a bottom, the sidewall including an area of retrograde profile relative to a direction extending from a top of the recessed feature to the bottom of the recessed feature, b) depositing an amount of a material in the recessed feature, the material having a greater thickness at the bottom than on the sidewall of the recessed feature, c) stopping the depositing in step b) before the recessed feature is fully filled with the material, d) etching a portion of the material from the recessed feature, and e) depositing an additional amount of the material to fully fill the recessed feature with the material without any voids in the recessed feature.
    Type: Grant
    Filed: March 7, 2018
    Date of Patent: September 10, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara N. Tapily, Gerrit J. Leusink
  • Patent number: 10354876
    Abstract: A semiconductor device and a method of forming the same, the semiconductor device includes a substrate and a material layer. The substrate has a first region, and the material layer is disposed on the substrate. The material layer includes plural of first patterns and plural of second patterns arranged in an array, and two third patterns. The first patterns are disposed within the first region, the second patterns are disposed at two opposite outer sides of the first region, and the third patterns are disposed at another two opposite outer sides of the first region, wherein each of the third patterns partially merges each of a part of the first patterns and each of a part of the second patterns.
    Type: Grant
    Filed: June 24, 2018
    Date of Patent: July 16, 2019
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Feng-Yi Chang, Yu-Cheng Tung, Fu-Che Lee, Ying-Chih Lin
  • Patent number: 10340149
    Abstract: A method of forming dense hole patterns of semiconductor devices includes: forming a plurality of first pillars on at least one lower hard mask layer disposed on a substrate; forming a spacer layer on the lower hard mask layer to form a plurality of second pillars respectively covering the first pillars, wherein a plurality of first holes are formed among the second pillars; etching the spacer layer to expose first portions of the lower hard mask layer via the first holes and expose top surfaces of the first pillars; removing the first pillars to form a plurality of second holes in the spacer layer to expose second portions of the lower hard mask layer; etching the first portions and the second portions of the lower hard mask layer at least until portions of the substrate are exposed; and removing remaining portions of the spacer layer.
    Type: Grant
    Filed: September 5, 2017
    Date of Patent: July 2, 2019
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Shing-Yih Shih, Jen-Jui Huang
  • Patent number: 10325777
    Abstract: A chemical material is deposited on a surface of a substrate. A mandrel composition is deposited on a surface of the chemical material. A mandrel hard mask pattern is deposited on a surface of the mandrel composition. The mandrel composition is etched. The mandrel hard mask pattern is removed. A plurality of spacer materials are deposited sequentially onto a surface of the chemical material and a surface of the mandrel composition. A portion of each of the plurality of spacer materials are removed sequentially. A remainder of the mandrel composition is removed. The substrate is etched. The chemical material and at least one of the spacer materials of the plurality of spacer materials are removed.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: June 18, 2019
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, John H. Zhang, Carl Radens
  • Patent number: 10224214
    Abstract: In order to provide a semiconductor device with high reliability while manufacturing cost is being suppressed, dry etching for an insulating film is performed by using mixed gas containing at least CF4 gas and C3H2F4 gas as its components.
    Type: Grant
    Filed: October 21, 2017
    Date of Patent: March 5, 2019
    Assignee: Renesas Electronics Corporation
    Inventors: Kotaro Horikoshi, Toshikazu Hanawa, Masatoshi Akaishi, Yuji Kikuchi
  • Patent number: 10170306
    Abstract: A method includes performing a double patterning process to form a first mandrel, a second mandrel, and a third mandrel, with the third mandrel being between the first mandrel and the second mandrel, and etching the third mandrel to cut the third mandrel into a fourth mandrel and a fifth mandrel, with an opening separating the fourth mandrel from the fifth mandrel. A spacer layer is formed on sidewalls of the first, the second, the fourth, and the fifth mandrels, wherein the opening is fully filled by the spacer layer. Horizontal portions of the spacer layer are removed, with vertical portions of the spacer layer remaining un-removed. A target layer is etched using the first, the second, the fourth, and the fifth mandrels and the vertical portions of the spacer layer as an etching mask, with trenches formed in the target layer. The trenches are filled with a filling material.
    Type: Grant
    Filed: April 17, 2017
    Date of Patent: January 1, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Ju Lee, Hsin-Chieh Yao, Shau-Lin Shue, Tien-I Bao, Yung-Hsu Wu
  • Patent number: 10134592
    Abstract: A method is disclosed to prepare a substrate for photolithography. The method includes forming an underlayer over a surface of the substrate; depositing an interface hardmask layer on the underlayer using one of a vapor phase deposition process or an atomic layer deposition process; and forming a layer of extreme UV (EUV) resist on the interface hardmask layer, where the interface hardmask layer is comprised of material having a composition and properties tuned to achieve a certain secondary electron yield from the interface hardmask layer. Also disclosed is a structure configured for photolithography. The structure includes a substrate; an underlayer over a surface of the substrate; an interface hardmask layer disposed on the underlayer; and a layer of EUV resist disposed on the interface hardmask layer. The interface hardmask layer contains material having a composition and properties tuned to achieve a certain secondary electron yield from the interface hardmask layer.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: November 20, 2018
    Assignee: International Business Machines Corporation
    Inventors: Michael P Belyansky, Ravi K Bonam, Anuja Desilva, Scott Halle
  • Patent number: 10121785
    Abstract: Provided herein is a multi-channel finFET having a plurality of fins prepared by a process. The process includes forming a series of mandrels on hard mask layer which overlays a semiconductor layer. The semiconductor layer has areas of a first semiconductor material and a second semiconductor material in contact with the hard mask layer. The process includes applying a first conformal coating on the hard mask layer and the series of mandrels, to form spacer layer sacrificial fins. The process includes removing the first conformal coating from horizontal surfaces while retaining the first conformal coating on sidewalls of the series of mandrels. The process includes removing the series of mandrels and etching into a material of the hard mask layer using the spacer layer sacrificial fins as a mask.
    Type: Grant
    Filed: January 3, 2017
    Date of Patent: November 6, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sivananda K. Kanakasabapathy, Fee Li Lie, Eric Miller, Stuart A. Sieg
  • Patent number: 10068769
    Abstract: In a described example method, semiconductor wafer with a backside silicon nitride layer is encapsulated with a diffusion barrier layer prior to a high temperature anneal greater than about 1000 degrees Celsius. After the high temperature anneal the diffusion barrier layer and the backside silicon nitride layers are stripped.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: September 4, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Prakash Dalpatbhai Dev, Fuchao Wang, Nicholas Andrew Kusek
  • Patent number: 9991131
    Abstract: A double masking process is used to form semiconductor fin arrays having a controlled and variable fin pitch within different arrays. During the process, a top mandrel layer overlies a bottom mandrel layer over a semiconductor substrate. Sidewall structures formed on first mandrels within a first region of the substrate define a patterned hard mask that cooperates with a patterned photoresist layer over a second region of the substrate to form second mandrels within first and second regions of the substrate. Sidewall structures formed on the second mandrels are used as a masking layer to form a plurality of fins over the substrate.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: June 5, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Min Gyu Sung, Ruilong Xie, Chanro Park
  • Patent number: 9881794
    Abstract: In some embodiments, a method of a semiconductor process includes conformally forming a spacer layer over a plurality of mandrels that are disposed over a mask layer, portions of the spacer layer disposed over opposing sidewalls of adjacent ones of the plurality of mandrels defining trenches therebetween, filling the trenches with a dummy material, and removing first portions of the dummy material in the trenches, thereby forming a plurality of openings in the dummy material. The method further includes filling the plurality of openings with a first material, removing a remaining portion of the dummy material in the trenches, and removing the plurality of mandrels after the removing the dummy material.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: January 30, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yi-Nien Su
  • Patent number: 9818620
    Abstract: In order to provide a semiconductor device with high reliability while manufacturing cost is being suppressed, dry etching for an insulating film is performed by using mixed gas containing at least CF4 gas and C3H2F4 gas as its components.
    Type: Grant
    Filed: September 16, 2016
    Date of Patent: November 14, 2017
    Assignee: Renesas Electronics Corporation
    Inventors: Kotaro Horikoshi, Toshikazu Hanawa, Masatoshi Akaishi, Yuji Kikuchi
  • Patent number: 9711716
    Abstract: A magnetic memory device and a method for manufacturing the magnetic memory device are disclosed. The method includes forming a first interlayer insulating layer on a substrate, forming a first conductive pattern that penetrates the first interlayer insulating layer, forming a mold insulating layer that includes first and second mold insulating layers on the first interlayer insulating layer, forming a second conductive pattern that penetrates the first and second mold insulating layers and the first interlayer insulating layer, and forming a magnetic tunnel junction pattern on the second conductive pattern. The first mold insulating layer is in contact with the first conductive pattern, and the second mold insulating layer is disposed on the first mold insulating layer.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: July 18, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myoungsu Son, Kiseok Suh, Gwanhyeob Koh, KyungTae Nam, Yoonjong Song
  • Patent number: 9704816
    Abstract: An active region structure includes a device region, an active layer and a shallow trench isolation (STI) layer. The device region is defined on a substrate. The active layer is formed by a top portion of the substrate and has a plurality of device cells within the device region and a border structure surrounding the device region. The border structure has at least one branch extending into the device region and is between a portion of the device cells. The STI layer has a first part formed within the border structure to insulate the device cells from one another and a second part surrounding an outer periphery of the border structure. The second part of the STI layer isolates the device cells from a peripheral active region.
    Type: Grant
    Filed: October 18, 2016
    Date of Patent: July 11, 2017
    Assignees: United Microelectronics Corp., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventor: Tsai-Yu Huang
  • Patent number: 9685331
    Abstract: A semiconductor device manufacturing method includes forming a first film on a substrate having a first region and a second region. A second film is formed on the first film. Guide grooves are formed by removing portions of the second film and exposing the first film. A self-assembly material is coated on the exposed first film and heated to cause a phase separation into a first and a second phase section. The self-assembly material is irradiated. A mask pattern including at least a portion of the first phase section is formed by removing the second phase section. The mask pattern has a first dimension in the first region and a second dimension in the second region that is different from the first dimension. The first film is etched after the mask pattern is formed.
    Type: Grant
    Filed: August 8, 2016
    Date of Patent: June 20, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ayako Kawanishi, Yusuke Kasahara, Hiroki Yonemitsu
  • Patent number: 9634012
    Abstract: In a method of forming active patterns, first patterns are formed in a first direction on a cell region of a substrate, and a second pattern is formed on a peripheral circuit region of the substrate. The first pattern extends in a third direction crossing the first direction. First masks are formed in the first direction on the first patterns, and a second mask is formed on the second pattern. The first mask extends in a fourth direction crossing the third direction. Third masks are formed between the first masks extending in the fourth direction. The first and second patterns are etched using the first to third masks to form third and fourth patterns. Upper portions of the substrate are etched using the third and fourth patterns to form first and second active patterns in the cell and peripheral circuit regions.
    Type: Grant
    Filed: February 4, 2016
    Date of Patent: April 25, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Jin Park, Chan-sic Yoon, Ki-Seok Lee, Hyeon-Ok Jung, Dae-Ik Kim, Bong-Soo Kim, Yong-Kwan Kim, Eun-Jung Kim, Se-Myeong Jang, Min-su Choi, Sung-Hee Han, Yoo-Sang Hwang
  • Patent number: 9576850
    Abstract: When a recess is formed in a SiCOH film, C is removed from the film to form a damage layer. If the damage layer is removed by hydrofluoric acid or the like, the surface becomes hydrophobic. By supplying a boron compound gas, a silicon compound gas or a gas containing trimethyl aluminum to the SiCOH film, B, Si or Al is adsorbed on the SiCOH film. These atoms bond with Ru and a Ru film is easily formed on the SiCOH film. The Ru film is formed using, for example, Ru3(CO)12 gas and CO gas. Copper is filled in the recess and an upper side wiring structure is formed by carrying out CMP processing.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: February 21, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tadahiro Ishizaka, Atsushi Gomi, Kenji Suzuki, Tatsuo Hatano, Yasushi Mizusawa
  • Patent number: 9505610
    Abstract: Techniques and mechanisms for providing precisely fabricated structures of a semiconductor package. In an embodiment, a build-up carrier of the semiconductor package includes a layer of porous dielectric material. Seed copper and plated copper is disposed on the layer of porous dielectric material. Subsequent etching is performed to remove copper adjacent to the layer of porous dielectric material, forming a gap separating a suspended portion of a MEMS structure from the layer of porous dielectric material. In another embodiment, the semiconductor package includes a copper structure disposed between portions of an insulating layer or portions of a layer of silicon nitride material. The layer of silicon nitride material couples the insulating layer to another insulating layer. One or both of the insulating layers are each protected from desmear processing with a respective release layer structure.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: November 29, 2016
    Assignee: Intel Corporation
    Inventors: Weng Hong Teh, Tarek A Ibrahim, Sarah K Haney, Daniel N Sobieski, Parshuram B Zantye, Chad E Mair, Telesphor Kamgaing
  • Patent number: 9478506
    Abstract: Approaches for multilayer pattern transfer for chemical guides are provided. In a typical embodiment, a device is formed by forming an etch mask layer (e.g., a nitride layer and an oxide layer) over a substrate (e.g., silicon (Si)). An orientation control layer (e.g., a neutral layer) is then formed over the etch mask layer, and an ARC layer (e.g., SiARC) is formed over the orientation control layer. In other embodiments, an organic planarization layer (OPL) and/or a protection layer may also be formed between the ARC layer and the orientation control layer. Regardless, a tapered etch profile/pattern may then be formed through the ARC and/or other layers.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: October 25, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Richard A. Farrell, Gerard M. Schmid, Sudharshanan Raghunathan
  • Patent number: 9478415
    Abstract: A method for forming on a substrate a doped silicon oxide film with a cap film, includes: forming an arsenosilicate glass (ASG) film as an arsenic (As)-doped silicon oxide film on a substrate; continuously treating a surface of the ASG film with a treating gas constituted by Si, N, and H without excitation; and continuously forming a silicon nitride (SiN) film as a cap film on the treated surface of the ASG film.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: October 25, 2016
    Assignee: ASM IP Holding B.V.
    Inventors: Yosuke Kimura, David de Roest
  • Patent number: 9455177
    Abstract: Methods of forming contact holes comprising: (a) providing a substrate comprising a plurality of post patterns over a layer to be patterned; (b) forming a hardmask layer over the post patterns and the layer to be patterned; (c) coating a pattern treatment composition over the hardmask layer, wherein the pattern treatment composition comprises a polymer comprising a reactive surface attachment group and a solvent; and optionally baking the substrate; wherein the polymer becomes bonded to the hardmask layer to form a polymer layer over the hardmask layer; and (d) treating the substrate with a rinsing agent comprising a solvent to remove residual, unbound said polymer, thereby forming a first hole disposed between a plurality of surrounding post patterns. The method is free of exposing the polymer to activating radiation from coating the pattern treatment composition to treating the substrate with the solvent. Also provided are pattern treatment compositions and electronic devices formed by the methods.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: September 27, 2016
    Assignees: Dow Global Technologies LLC, Rohm and Haas Electronic Materials LLC
    Inventors: Jong Keun Park, Phillip D. Hustad
  • Patent number: 9431265
    Abstract: Methods that enable fin cut at very tight pitch are provided. After forming a first set of paired sidewall image transfer (SIT) spacers and a second set of paired SIT spacers composed of different materials, portions of the first set of the paired SIT spacers can be selectively removed without adversely affecting the second set of the paired SIT spacers, even portions of both sets of the paired SIT spacers are exposed by the cut mask due to the different etching characteristics of the different materials.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: August 30, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ali Khakifirooz, Alexander Reznicek, Tenko Yamashita
  • Patent number: 9412604
    Abstract: The present inventive concept provides methods of manufacturing a semiconductor device including forming an inner mask layer on an etching target film, the inner mask layer including a polymer; forming a porous film on the etching target film, the porous film covering the inner mask layer; supplying an acid source to an outer surface area of the inner mask layer through the porous film; inducing a chemical reaction of the polymer included in the inner mask layer in the outer surface area by using the acid source; forming inner mask patterns by removing a chemically reacted portion of the inner mask layer; and etching the etching target film by using at least a portion of the porous film and the inner mask patterns as an etching mask.
    Type: Grant
    Filed: April 21, 2015
    Date of Patent: August 9, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Hyun-woo Kim, Jong-soo Kim, Jin Park, Hyung-rae Lee
  • Patent number: 9349808
    Abstract: A semiconductor structure is provided by a process in which two aspect ratio trapping processes are employed. The structure includes a semiconductor substrate portion of a first semiconductor material having a first lattice constant. A plurality of first semiconductor-containing pillar structures of a second semiconductor material having a second lattice constant that is greater than the first lattice constant extend upwards from a surface of the semiconductor substrate portion. A plurality of second semiconductor-containing pillar structures of a third semiconductor material having a third lattice constant that is greater than the first lattice constant extend upwards from another surface of the semiconductor substrate portion. A spacer separates each first semiconductor-containing pillar structure from each second semiconductor-containing pillar structure. Each second semiconductor-containing pillar structure has a width that is different from a width of each first semiconductor-containing pillar structure.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: May 24, 2016
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Alexander Reznicek