Silicon Oxide Or Glass Patents (Class 438/743)
  • Patent number: 6613691
    Abstract: An oxide etching process, particular useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention preferably uses the unsaturated 4-carbon fluorocarbons, specifically hexafluorobutadiene (C4F6), which has a below 10°C. and is commercially available. The hexafluorobutadiene together with argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. Preferably, a two-step etch is used process is used in which the above etching gas is used in the main step to provide a good vertical profile and a more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: September 2, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Raymond Hung, Joseph P. Caulfield, Hongching Shan, Ruiping Wang, Gerald Z. Yin
  • Patent number: 6613696
    Abstract: A method of forming a composite silicon oxide layer over a semiconductor device. The composite silicon oxide layer is formed between the semiconductor device and a doped silicate glass layer. The composite silicon oxide layer comprises two silicon oxide layers, each having a different silicon/oxide composition. The oxygen-rich oxide layer or silicon dioxide layer is formed directly above the semiconductor device, and the silicon-rich oxide layer is formed above the silicon dioxide layer next to the doped silicate glass layer. Both the silicon dioxide layer and the silicon-rich oxide layer are formed in the same plasma deposition chamber.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: September 2, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Hai-Hung Wen, Yu-Chih Chuang
  • Patent number: 6607675
    Abstract: We have discovered a method for plasma etching a carbon-containing silicon oxide film which provides excellent etch profile control, a rapid etch rate of the carbon-containing silicon oxide film, and high selectivity for etching the carbon-containing silicon oxide film preferentially to an overlying photoresist masking material. When the method of the invention is used, a higher carbon content in the carbon-containing silicon oxide film results in a faster etch rate, at least up to a carbon content of 20 atomic percent. In particular, the carbon-containing silicon oxide film is plasma etched using a plasma generated from a source gas comprising NH3 and CxFy. It is necessary to achieve the proper balance between the relative amounts of NH3 and CxFy in the plasma source gas in order to provide a balance between etch by-product polymer deposition and removal on various surfaces of the substrate being etched.
    Type: Grant
    Filed: August 29, 2000
    Date of Patent: August 19, 2003
    Assignee: Applied Materials Inc.
    Inventors: Chang Lin Hsieh, Hui Chen, Jie Yuan, Yan Ye
  • Patent number: 6593230
    Abstract: A lowest-layer insulating film and at least one upper-layer insulating film are used. The etching rate for the lowest-layer insulating film changes in response to change in flow rate of a predetermined etching reactive gas. The etching rate for the at least one upper-layer insulating film under the condition in which the flow rate of the etching reactive gas is a first flow rate such that the etching rate for the lowest-layer insulating film is low is higher than the etching rate for the lowest-layer insulating film under the condition in which the flow rate of the etching reactive gas is the first flow rate. First etching is performed under the condition in which the flow rate of the etching reactive gas is the first flow rate. Then, second etching is performed under the condition in which the flow rate of the etching reactive gas is a second flow rate such that the etching rate for the lowest-layer insulating film is high.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: July 15, 2003
    Assignee: Ricoh Company, Ltd.
    Inventor: Takahiko Kuroda
  • Patent number: 6589435
    Abstract: Contact holes (36a, 36b) are formed by means of plasma etching, such that the contact holes are formed from the top surface of a silicon oxide insulating film (31) down to a wiring layer (33a) at a deep position and a wiring layer (33b) at a shallow position, respectively, which are embedded in the insulating film (31). A process gas containing C4F8, CO, and Ar is used, while the process pressure is set to be from 30 to 60 mTorr, and the partial pressure of the C4F8 gas is set to be from 0.07 to 0.35 mTorr. Under these conditions, the process gas is turned into plasma, and the insulating film (31) is etched with the plasma to form the contact holes (36a, 36b).
    Type: Grant
    Filed: November 8, 2000
    Date of Patent: July 8, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Shin Okamoto, Shunichi Iimuro
  • Patent number: 6589881
    Abstract: A method of forming a dual damascene structure. A substrate having a conductive layer thereon is provided. A passivation layer, a first dielectric layer, an etching stop layer, a second dielectric layer and cap layer serving as a base anti-reflection coating are sequentially formed over the substrate. The cap layer and the second dielectric layer are patterned to form a first opening that exposes a portion of the etching stop layer. A patterned negative photoresist layer having a second opening therein is formed above the cap layer. The cap layer exposed by the second opening and the second dielectric layer exposed by the first opening are removed. Thereafter, the second dielectric layer exposed by the second opening is removed to form a trench and the first dielectric layer exposed by the first opening is removed to form a via opening. The passivation layer exposed by via opening and then the negative photoresist layer is removed.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: July 8, 2003
    Assignee: United Microelectronics Corp.
    Inventors: I-Hsiung Huang, Jiunn-Ren Hwang, Kuei-Chun Hung, Ching-Hsu Chang
  • Publication number: 20030119329
    Abstract: The present invention provides a method for fabricating a semiconductor device capable of minimizing losses of a gate electrode and a hard mask during a self align contact (SAC) formation process. For this effect, the present invention includes the steps of: forming a plurality of conductive patterns on a substrate; forming hard masks on the conductive patterns; forming an organic based dielectric layer on the substrate including the conductive patterns and the hard mask; forming an oxide based insulation layer on the organic based dielectric layer; etching selectively the insulation layer so as to expose the organic based dielectric layer allocated between the conductive patterns; and etching selectively the exposed organic based dielectric layer to form a contact hole that exposes the surfaces of the substrate between the conductive patterns with an O2 gas as a main etching gas.
    Type: Application
    Filed: December 4, 2002
    Publication date: June 26, 2003
    Inventor: Sung-Kwon Lee
  • Patent number: 6583067
    Abstract: The present invention is a method to avoid deterioration of a dielectric characteristic of a dielectric layer having a low dielectric constant (low k) during a stripping process. The method involves first forming a low k dielectric layer on the surface of a substrate of a semiconductor wafer. Then, a patterned photoresist layer is formed over the surface of the low k dielectric layer. The patterned photoresist layer is then used as a hard mask to perform an etching process on the low k dielectric layer. A stripping process is then performed to remove the patterned photoresist layer. Finally, a surface treatment is utilized on the low k dielectric layer to remove Si—OH bonds in the low k dielectric layer so as to avoid moisture absorption of the low k dielectric layer that causes deterioration of the dielectric characteristic.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: June 24, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Ting-Chang Chang, Po-Tsun Liu, Yi-Shien Mor
  • Publication number: 20030113993
    Abstract: Provided is a method for forming a self aligned contact (SAC) of a semiconductor device that can minimize the loss of gate electrodes and hard mask. The method includes the steps of: providing a semiconductor substrate on which a plurality of conductive patterns are formed; forming a first insulation layer along the profile of the conductive patterns on the substrate; forming a second insulation layer on the substrate and simultaneously forming voids between the conductive patterns; forming a third insulation layer on the first insulation layer; and forming contact holes that expose the surface of the substrate between the conductive patterns by etching the third insulation layer and the second insulation layer covering the voids.
    Type: Application
    Filed: December 3, 2002
    Publication date: June 19, 2003
    Inventors: Sung-Kwon Lee, Sang-Ik Kim, Chang-Youn Hwang, Weon-Joon Suh, Min-Suk Lee
  • Patent number: 6559063
    Abstract: A resist mark for measuring the accuracy of overlay of a photomask disposed on a semiconductor wafer, includes a first measurement mark having a first opening, formed on the substrate, an intermediate layer formed on the first measurement mark and in the first opening, a frame-shaped second measurement mark formed on the intermediate layer, and a third measurement mark that is spaced from the second measurement mark toward the outside, formed on the intermediate layer. The second measurement mark has a width which is short enough not to be influenced by a deformation caused by the thermal flow phenomenon.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: May 6, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Akiyuki Minami, Satoshi Machida
  • Patent number: 6551940
    Abstract: Disclosed is a process of using undoped silicon dioxide as an etch mask for selectively etching doped silicon dioxide for forming a designated topographical structure. In one embodiment, a doped silicon dioxide layer is formed over a semiconductor substrate. An undoped silicon dioxide layer is formed and patterned over the doped silicon dioxide layer. Doped silicon dioxide is selectively removed from the doped silicon dioxide layer through the pattern by use of a plasma etch or another suitable etch that removes doped silicon dioxide at a rate greater than that of undoped silicon dioxide. The process may be used to form contacts to the semiconductor substrate. The process may also be used to form a structure with a lower and an upper series of parallel gate stacks, where the gate stacks have upper surfaces consisting essentially of undoped silicon dioxide.
    Type: Grant
    Filed: October 27, 1997
    Date of Patent: April 22, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Kei-Yu Ko
  • Patent number: 6551941
    Abstract: A method of forming a notch silicon-containing gate structure is disclosed. This method is particularly useful in forming a T-shaped silicon-containing gate structure. A silicon-containing gate layer is etched to a first desired depth using a plasma generated from a first source gas. During the etch, etch byproducts deposit on upper sidewalls of the silicon-containing gate layer which are exposed during etching, forming a first passivation layer which protects the upper silicon-containing gate layer sidewalls from etching during subsequent processing steps. A relatively high substrate bias power is used during this first etch step to ensure that the passivation layer adheres properly to the upper silicon-containing gate sidewalls.
    Type: Grant
    Filed: February 22, 2001
    Date of Patent: April 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Chan-syun David Yang, Meihua Shen, Oranna Yauw, Jeffrey D. Chinn
  • Patent number: 6547977
    Abstract: The present disclosure pertains to a method for plasma etching of low k materials, particularly polymeric-based low k materials. Preferably the polymeric-based materials are organic-based materials. The method employs an etchant plasma where the major etchant species are generated from a halogen other than fluorine and oxygen. The preferred halogen is chlorine. The volumetric (flow rate) ratio of the halogen:oxygen in the plasma source gas ranges from about 1:20 to about 20:1. The atomic ratio of the halogen:oxygen preferably falls within the range from about 1:20 to about 20:1. When the halogen is chlorine, the preferred atomic ratio of chlorine:oxygen ranges from about 1:10 to about 5:1. When this atomic ratio of chlorine:oxygen is used, the etch selectivity for the low k material over adjacent oxygen-comprising or nitrogen-comprising layers is advantageous, typically in excess of about 10:1.
    Type: Grant
    Filed: July 5, 2000
    Date of Patent: April 15, 2003
    Assignee: Applied Materials Inc.
    Inventors: Chun Yan, Gary C. Hsueh, Yan Ye, Diana Xiaobing Ma
  • Patent number: 6544429
    Abstract: A plasma etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. A primary fluorine-containing gas, preferably hexafluorobutadiene (C4F6), is combined with a significantly larger amount of the diluent gas xenon (Xe) to enhance nitride selectivity without the occurrence of etch stop. The chemistry is also useful for etching oxides in a time oxide etch in which holes and corners have already been formed, for example counterbore vias in a dual damascene structure. In this case, the relative amount of xenon need not be so high, but xenon still reduces faceting of the oxide corners. The invention may be used with related heavy fluorocarbons and other fluorine-based etching gases.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: April 8, 2003
    Assignee: Applied Materials Inc.
    Inventors: Hoiman (Raymond) Hung, Joseph P. Caulfield, Hongchin Shan, Kenneth S. Collins, Chunshi Cui, Michael Rice
  • Publication number: 20030064603
    Abstract: The surface of an insulating film made of silicon-containing insulating material is covered with a mask pattern. The insulating film is dry-etched by using the mask pattern as a mask and etching gas which contains C4F8 gas and CxFy gas (wherein x and y are an integer and satisfy x≧5 and y≦(2x−1). In the dry-etching process, a sufficient etching selection ratio can be obtained between a layer to be etched and an underlying etching stopper film.
    Type: Application
    Filed: January 9, 2002
    Publication date: April 3, 2003
    Applicant: Fujitsu Limited
    Inventor: Daisuke Komada
  • Patent number: 6531067
    Abstract: The subject of the present invention is to keep the wiring resistance low and reduce the variation of the wiring resistance in one identical lot in semiconductor devices of a multi level interconnect structure in which at least the lower wiring layer is an aluminum wiring layer. Contact holes (31, 51) are formed in dielectric interlayers (3, 5) of upper and lower wiring layers (1, 2, 4) by dry etching. In the method of forming the contact holes of the invention, the dry etching was applied in two steps divisionally. The first step of etching is applied with supplying CF4, CHF3, Ar and N2 into an etching chamber. The second step of etching is conducted with supplying CF4, CHF3 and Ar into the etching gas chamber.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: March 11, 2003
    Assignee: Asahi Kasei Microsystems Co., Ltd.
    Inventors: Nagamasa Shiokawa, Atsushi Yamamoto
  • Patent number: 6518194
    Abstract: A method for using intermediate transfer layers for transferring nanoscale patterns to substrates and forming nanostructures on substrates. An intermediate transfer layer is applied to a substrate surface, and one or more mask templates are then applied to the intermediate transfer layer. Holes are etched through the intermediate transfer layer, and material may be deposited into the etched holes.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: February 11, 2003
    Inventors: Thomas Andrew Winningham, Kenneth Douglas
  • Patent number: 6518195
    Abstract: A domed plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome. The antenna generates a high density, low energy plasma inside the chamber for etching metals, dielectrics and semiconductor materials. Auxiliary RF bias energy applied to the 10 wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes deposition processes and combined etch/deposition processed. The disclosed invention provides processing of sensitive devices without damage and without microloading, thus providing increased yields.
    Type: Grant
    Filed: February 15, 2000
    Date of Patent: February 11, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Chan-Lon Yang, Jerry Yuen-Kui Wong, Jeffrey Marks, Peter R. Keswick, David W. Groechel, Craig A. Roderick, John R. Trow, Tetsuya Ishikawa, Jay D. Pinson, II, Lawrence Chang-Lai Lei, Masato M. Toshima, Gerald Zheyao Yin
  • Patent number: 6514868
    Abstract: An exemplary method is described which forms a contact hole having a critical dimension which is smaller than the critical dimension possible using conventional lithographic techniques. This method can include providing a hard mask over a layer of material in which a contact hole is to be formed; etching the hard mask with a first critical dimension at the top of the hard mask and a second critical dimension at the bottom of the hard mask; and etching a contact hole using the hard mask to transfer the second critical dimension to the contact hole.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Angela T. Hui, Bhanwar Singh
  • Patent number: 6511608
    Abstract: Because of environmental pollution prevention laws, PFC (perfluorocarbon) and HFC (hydrofluorocarbon), both etching gases for silicon oxide and silicon nitride films, are expected to be subjected to limited use or become difficult to obtain in the future. An etching gas containing fluorine atoms is introduced into a plasma chamber. In a region where plasma etching takes place, the fluorine-containing gas plasma is made to react with solid-state carbon in order to produce molecular chemical species such as CF4, CF2, CF3 and C2F4 for etching. This method assures a high etch rate and high selectivity while keeping a process window wide.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: January 28, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masahito Mori, Shinichi Tachi, Kenetsu Yokogawa
  • Patent number: 6509271
    Abstract: The present invention provides a manufacturing method of a semiconductor device including the steps of: forming a silicon nitride film on a semiconductor substrate and forming a CVD silicon oxide film on the silicon nitride film, patterning the silicon nitride film and the CVD silicon oxide film using a resist mask, forming a trench by etching the semiconductor substrate by using the patterned silicon nitride film and the patterned CVD silicon oxide film as a mask after releasing the resist mask, and embedding an insulating material into the trench and flattening the embedded insulating material using the silicon nitride film as a stopper, in which the manufacturing method includes a step of annealing the semiconductor substrate after the step of forming the CVD silicon oxide film and before the step of etching the semiconductor substrate.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: January 21, 2003
    Assignee: NEC Corporation
    Inventor: Migaku Kobayashi
  • Patent number: 6503842
    Abstract: A method of removing an oxide layer from an article. The article is located in a reaction chamber. An interhalogen compound reactive with the oxide layer is introduced into the reaction chamber. The interhalogen compound forms volatile by-product gases upon reaction with the oxide layer. For compounds the form volatile chlorides, bromides or iodides, a reducing gas, such as for example hydrogen, ammonia, amines, phosphine, silanes; and higher silanes, may optionally be added simultaneously with the interhalogen to form a volatile by-product. Unreacted interhalogen compound and volatile by-product gases are removed from the reaction chamber. In one embodiment, the temperature in the reaction chamber may be elevated prior to or after introducing the interhalogen compound. In another embodiment, a metal layer is deposited in-situ on a portion of the article within the reaction chamber.
    Type: Grant
    Filed: January 12, 1999
    Date of Patent: January 7, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Donald L. Westmoreland
  • Publication number: 20030003761
    Abstract: A method of forming openings in the dielectric layer. The method includes an ion implantation step to reduce a lateral etching in a chemical vapor etching step, and to provide a high etching selectivity ratio of the dielectric layer to a mask. The dry etching process is partially substituted by the chemical vapor etching step, so that an opening having a straight profile is formed in the dielectric layer. Consequently, problems, such as loss of critical dimension and striation of the opening caused by loss of the mask can be effectively ameliorated.
    Type: Application
    Filed: January 17, 2001
    Publication date: January 2, 2003
    Inventors: Yun-Kuei Yang, Yi-Ming Chang
  • Patent number: 6488863
    Abstract: An etching gas is supplied into a process chamber and turned into plasma so as to etch a silicon nitride film arranged on a field silicon oxide film on a wafer (w). A mixture gas containing at least CH2F2 gas and O2 gas is used as the etching gas. Parameters for planar uniformity, by which the etching apparatus is set in light of a set value of the planar uniformity, include the process pressure and the mixture ratio (CH2F2/O2) of the mixture gas. As the set value of the planar uniformity is more strict, either one of the process pressure and the mixture ratio is set higher.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: December 3, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Tetsuya Nishiara, Kouichiro Inazawa, Shin Okamoto
  • Publication number: 20020175144
    Abstract: A plasma etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a comer that is prone to faceting during the oxide etch. A primary fluorine- containing gas, preferably hexafluorobutadiene (C4F6), is combined with a significantly larger amount of the diluent gas xenon (Xe) enhance nitride selectivity without the occurrence of etch stop. The chemistry is also useful for etching oxides in which holes and comers have already been formed, for which the use of xenon also reduces faceting of the oxide. For this use, the relative amount of xenon need not be so high. The invention may be used with related heavy fluorocarbons and other fluorine-based etching gases.
    Type: Application
    Filed: March 25, 1999
    Publication date: November 28, 2002
    Inventors: HOIMAN(RAYMOND) HUNG, JOSEPH P. CAULFIELD, HONGQING SHAN, MICHAEL RICE, KENNETH S. COLLINS, CHUNSHI CUI
  • Patent number: 6479307
    Abstract: A method of monitoring loss of silicon nitride, used to monitor the loss of a first etch stop layer below a first insulating layer in a first contact opening opening after the first contact opening is formed in the first insulating layer over a device region and scribe line of a wafer. A dummy wafer is provided on which stacks in sequence a second etch stop layer and a second insulating layer. The second insulating layer is patterned by removing a portion of the second insulating layer, so that a monitoring opening that exposes the second etch stop layer and a second contact opening are formed in the second insulating layer. A first measuring step is performed to measure a first thickness loss and a second thickness loss from the second etch stop layer exposed respectively by the monitoring opening and the second contact opening on the dummy wafer. And a correlation is established from the first and second thickness losses.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: November 12, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Ya Chuang, Gow-Wei Sun, Ga-Ming Hong, Steven Chen, Pei-Jen Wang
  • Patent number: 6479396
    Abstract: In a process of preparing a via in a semiconductor substrate wafer in which vias are landed on tungsten, and in which resist is stripped using plasma or chemical based processes that do not remove the veils formed during the etch, the improvement of concurrently removing veil material, controlling the interface of the tungsten, and stripping the resist, comprising: a) depositing and patterning tungsten on a substrate; b) depositing an oxide as an interlevel dielectric on the tungsten; c) patterning the oxide using photolithography and a photoresist; d) etching the oxide using a plasma generated etching method in which veils made up of metals, carbon based materials and oxide based materials are formed on the tungsten and sidewalls of the vias; and e) stripping the resist using a dry polymer removal method employing process gases and reducing gases to concurrently cause resist stripping, removal of the veils, and control of the tungsten interface.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: November 12, 2002
    Assignee: Infineon Technologies Richmond, LP
    Inventors: Han Xu, Amy Ying Shen, Phillip Gerard Clark, Jr.
  • Patent number: 6475403
    Abstract: A subcritical or supercritical water is used to selectively etch a silicon nitride film against a silicon dioxide film or to selectively etch a silicon dioxide film against a crystalline silicon region. This method is applicable to a process of forming a MISFET or a charge emitting device.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: November 5, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kiyoyuki Morita
  • Patent number: 6475917
    Abstract: A method for forming on a substrate employed within a microelectronics fabrication a planarized inter-level metal dielectric (IMD) layer employing spin-on-glass (SOG) dielectric material, with attenuated etching damage to underlying layers. There is provided a substrate upon which is formed a patterned microelectronics layer over which is formed an inter-level metal dielectric (IMD) layer comprising a first silicon oxide dielectric layer and a second spin-on-glass (SOG) dielectric layer. The IMD layer is then planarized by plasma etchback method employing an etch cycle interrupted by an inert gas flushing step and substrate backside cooling by helium gas to control substrate temperature and etching reaction rates, resulting in attenuated damage to underlying layers resulting from over-etching of the IMD layer.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: November 5, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yun-Hung Shen, Yu-Lun Lin
  • Patent number: 6475918
    Abstract: An etching method capable of obtaining a fine fabricated shape, particularly, a vertical fabricated shape with less bowing upon fabrication of insulation films in the production of semiconductors, the method comprising controlling the incident amount of O, F or N radicals, gas flow rate or consumption amount of O, F and N on the inner wall surface with etching time to suppress excessive O, F and N which become excessive in the initial stage of etching, the method also including control for the flow rate or the consumption amount based on the result of measurement for plasmas during etching so as to obtain a stable etching shape. Since bowing can be reduced upon fabrication of insulation film hole and insulation film while maintaining the etching rate and the selectivity, finer semiconductor device can be produced easily.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: November 5, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Masaru Izawa, Kenetsu Yokogawa, Nobuyuki Negishi, Yoshinori Momonoi, Shinichi Tachi
  • Patent number: 6475920
    Abstract: An etching method for forming an opening includes providing a substrate assembly having a surface and an oxide layer thereon. A patterned mask layer is provided over the oxide layer exposing a portion of the oxide layer. A plasma including one or more of CxHyFz+ ions and CxFz+ ions and further including xenon or krypton ions is used to etch the oxide layer at the exposed portion to define the opening in the oxide layer while simultaneously depositing a polymeric residue on a surface defining the opening. The etching is continued until the opening in the oxide layer is selectively etched to the surface of the substrate assembly.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: November 5, 2002
    Assignee: Micron Technology, Inc.
    Inventors: John W. Coburn, Kevin G. Donohoe
  • Patent number: 6468920
    Abstract: A method for manufacturing a contact hole in a semiconductor device which includes the steps of preparing an active matrix provided with a substrate and word lines formed on the substrate, forming an etching barrier layer on the word lines and the substrate, forming an interlayer insulating layer on the etching barrier layer, forming a photoresist pattern on the interlayer insulating layer for defining a contact hole, etching the interlayer insulating layer under conditions of low polymerization until the etching barrier layer on the word lines is exposed, etching the interlayer insulating layer under conditions of high polymerization, and etching the interlayer insulating layer under conditions of low polymerization until the etching barrier layer in a bottom of the contact hole is exposed.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: October 22, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sung-Chan Park, Jun-Dong Kim
  • Patent number: 6464892
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: October 15, 2002
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6465364
    Abstract: The present invention provides a method for the formation of contact plugs of an embedded memory. The method first forms a plurality of MOS transistors on a defined memory array region and periphery circuit region of the semiconductor wafer. Then, a first dielectric layer is formed on the memory array region, and plurality of landing pads is formed in the first dielectric layer. Next, both a stop layer and a second dielectric layer are formed, respectively, on the surface of semiconductor wafer. A PEP process is then used to form a plurality of contact plug holes in the second dielectric layer in both the memory array region and the periphery circuit region. Finally, a conductive layer is filled into each hole to form in-situ each contact plug in both the memory array region and the periphery circuit region.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: October 15, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6461976
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Grant
    Filed: May 16, 2000
    Date of Patent: October 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Rod C. Langley
  • Patent number: 6461529
    Abstract: A process and etchant gas composition for anisotropically etching a trench in a silicon nitride layer of a multilayer structure. The etchant gas composition has an etchant gas including a polymerizing agent, a hydrogen source, an oxidant, and a noble gas diluent. The oxidant preferably includes a carbon-containing oxidant component and an oxidant-noble gas component. The fluorocarbon gas is selected from CF4, C2F6, and C3F8; the hydrogen source is selected from CHF3, CH2F2, CH3F, and H2; the oxidant is selected from CO, CO2, and O2; and the noble gas diluent is selected from He, Ar, and Ne. The constituents are added in amounts to achieve an etchant gas having a high nitride selectivity to silicon oxide and photoresist. A power source, such as an RF power source, is applied to the structure to control the directionality of the high density plasma formed by exciting the etchant gas.
    Type: Grant
    Filed: April 26, 1999
    Date of Patent: October 8, 2002
    Assignee: International Business Machines Corporation
    Inventors: Diane C. Boyd, Stuart M. Burns, Hussein I. Hanafi, Waldemar W. Kocon, William C. Wille, Richard Wise
  • Patent number: 6458708
    Abstract: The present invention provides a method for forming metal wiring in a semiconductor device, which can improve a reliability of multilayered metal wiring. The process includes forming a first insulating structure on a semiconductor substrate, etching the first insulating film to form a first contact hole, forming a first plug in the first contact hole, and removing a portion of the first insulating structure to planarize the first plug and the remaining portion of the first insulating structure. A first wiring layer is formed on a portion of the first insulating film on and around the first plug. A second insulating structure is deposited on the first wiring layer and the first insulating structure and the second insulating structure is etched to create a via hole which exposes the first wiring layer. A second plug is created in the second contact hole and a portion of the second insulating film is removed to planarize the second plug and the remaining portion of the second insulating structure.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: October 1, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Won Hwa Jin
  • Patent number: 6458710
    Abstract: A process for defining uniform contact hole openings in an insulator layer, and in a top portion of a conductive layer, has been developed. The process features a series of isotropic and anisotropic, dry etch procedures, used to define an initial contact hole opening in the insulator layer, and in the top portion of the conductive region. The isotropic dry etch procedure results in a tapered contact hole profile for top portion of the initial contact hole opening, while subsequent anisotropic dry etch procedures create a straight walled contact hole profile for the bottom portion of the initial contact hole opening. After removal of the contact hole defining, photoresist shape, a wet etch procedure is used to laterally recess-the insulator layer exposed in the initial contact hole opening creating the final, uniform contact hole opening.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: October 1, 2002
    Assignee: ESM Limited
    Inventor: Hugo Robert Gerard Burke
  • Patent number: 6455438
    Abstract: According to the present invention, a semiconductor device is fabricated by the following processes. First, a film to be etched is formed on a semiconductor substrate. On the film to be etched is formed a resist film. Then, a first pattern group including first patterns having a first size and a second pattern group including second patterns arranged outside of the first pattern group are formed by exposure. The resist film is then developed to form openings in the resist film so that the resultant openings correspond to the first and second patterns, respectively. The openings are then made smaller by annealing the resist film. The aforementioned processes enables openings having substantially the same shape to be formed in the film to be etched.
    Type: Grant
    Filed: September 15, 2000
    Date of Patent: September 24, 2002
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Azusa Yanagisawa, Koki Muto, Tadashi Nishimuro, Katsuo Oshima, Akira Watanabe, Akihiko Nara, Kouhei Shimoyama, Keisuke Tanaka, Takamitsu Furukawa, Shouzou Kobayashi
  • Patent number: 6451705
    Abstract: A method for forming an etched feature in a substrate such as an insulator layer of a semiconductor wafer is provided. In one embodiment, the method includes initially etching a substrate layer using a photoresist or other masking layer to form the etched feature (e.g., opening) to a selected depth, and depositing a self-aligning mask layer for a continued etch of the formed feature. In another embodiment of the method, the self-aligned mask is deposited onto a substrate having an etched opening or other feature, to protect the upper surface and corners of the substrate and sidewalls of the feature while the bottom portion of the opening is cleaned or material at the bottom portion of the opening is removed.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: September 17, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Shane J. Trapp, Kevin G. Donohoe
  • Patent number: 6444586
    Abstract: Disclosed is a process for removing doped silicon dioxide from a structure selectively to undoped silicon dioxide. A structure having both doped and undoped silicon dioxide regions is exposed to a high density plasma etch having a fluorinated etch chemistry. Doped silicon dioxide is preferably removed thereby at a rate 10 times or more greater than that of undoped silicon dioxide. The etch is conducted in a chamber having an upper electrode to which a source power is applied and a lower electrode to which a bias power is applied sufficient to generate a power density on a surface of the structure such that the source power density is in a range less than or equal to about 1000 W per 200-mm diameter wafer surface. The high density plasma etch has an ion density not less that about 109 ions/cm3. A variety of structures are formed with the etch process, including self-aligned contacts to a semiconductor substrate.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: September 3, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Kei-Yu Ko
  • Patent number: 6444582
    Abstract: Methods for removing a silicon-oxy-nitride layer and wafer surface cleaning are disclosed. The method for removing a silicon-oxy-nitride layer utilizes a solution of ethylene glycol and hydrogen fluoride to completely remove the silicon-oxy-nitride layer from a substrate. Moreover, the method for wafer surface cleaning also uses a solution of ethylene glycol and hydrogen fluoride to remove chemical oxide or native oxide from wafer surfaces and an ethylene glycol solvent to rinse the wafer surfaces.
    Type: Grant
    Filed: February 5, 2001
    Date of Patent: September 3, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Sheng Tsai
  • Patent number: 6444138
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: June 16, 1999
    Date of Patent: September 3, 2002
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6444556
    Abstract: Titanium-containing films exhibiting excellent uniformity and step coverage are deposited on semiconductor wafers in a cold wall reactor which has been modified to discharge plasma into the reaction chamber. Titanium tetrabromide, titanium tetraiodide, or titanium tetrachloride, along with hydrogen, enter the reaction chamber and come in contact with a heated semiconductor wafer, thereby depositing a thin titanium-containing film on the wafer's surface. Step coverage and deposition rate are enhanced by the presence of the plasma. The use of titanium tetrabromide or titanium tetraiodide instead of titanium tetrachloride also increases the deposition rate and allows for a lower reaction temperature. Titanium silicide and titanium nitride can also be deposited by this method by varying the gas incorporated with the titanium precursors.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: September 3, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Sujit Sharan, Howard E. Rhodes, Philip J. Ireland, Gurtej S. Sandhu
  • Patent number: 6440874
    Abstract: The invention relates to the field of manufacturing semiconductor devices, particularly processes directed to resist removal. In the method of the invention, the wafer temperature is maintained below approximately 210° C. to 220° C. to prevent residue formation, by controlling the temperature of a platen or paddle adjancent a wafer to be less than about 210° C. throughout plasma stripping of a resist layer disposed on the wafer. Moreover, to achieve a suitable yield and throughput at these temperatures, the flow rate of an additive to gases supplied to a plasma chamber to create an O2 plasma is controlled to thereby control and improve a resist striprate at these temperatures.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: August 27, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jeffrey A. Shields
  • Patent number: 6440866
    Abstract: A general method of the invention is to provide a polymer-hardening precursor piece (such as silicon, carbon, silicon carbide or silicon nitride, but preferably silicon) within the reactor chamber during an etch process with a fluoro-carbon or fluoro-hydrocarbon gas, and to heat the polymer-hardening precursor piece above the polymerization temperature sufficiently to achieve a desired increase in oxide-to-silicon etch selectivity. Generally, this polymer-hardening precursor or silicon piece may be an integral part of the reactor chamber walls and/or ceiling or a separate, expendable and quickly removable piece, and the heating/cooling apparatus may be of any suitable type including apparatus which conductively or remotely heats the silicon piece.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: August 27, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Michael Rice, David W. Groechel, Gerald Zheyao Yin, Jon Mohn, Craig A. Roderick, Douglas Buchberger, Chan-Lon Yang, Yuen-Kui Wong, Jeffrey Marks, Peter Keswick
  • Patent number: 6440869
    Abstract: The present invention discloses the method of forming the bottom electrode with HSG (hemispherical grain) layer on substrate, said substrate comprising a word line and an active region, said method comprising the steps of: depositing a confomal etch stop layer on said active region and said word line; forming a dielectric layer on said etch stop layer with planar top surface; forming a contact hole in said. dielectric layer and said etch stop layer to expose portions of said active region and said word line; depositing a first conductive layer on the surface of the contact hole; forming a hemishperical grain (HSG) layer on said first conductive layer; and removing said dielectric layer.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: August 27, 2002
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Horng-Huei Tseng
  • Patent number: 6436758
    Abstract: A method for forming a storage node contact plug of a dynamic random access memory includes forming insulating layers on an overall surface of a semiconductor substrate having a plurality of buried contact plugs, etching the insulating layers down to a top surface of the buried contact plugs to form first contact holes on the buried contact plugs, forming a photoresist pattern on the insulating layers and the first contact holes, etching the insulating layers to form second contact holes on the second insulating layer, and filling the first and second contact holes with conductive material.
    Type: Grant
    Filed: July 8, 1999
    Date of Patent: August 20, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Soon-Kyou Jang
  • Patent number: 6436841
    Abstract: A method of forming a borderless contact, comprising the following steps. A substrate having an exposed conductive structure is provided. An oxynitride etch stop layer is formed over the substrate and the exposed conductive structure. An oxide dielectric layer is formed over the oxynitride etch stop layer. The oxide dielectric layer is etched with an etch process having a high selectivity of oxide-to-oxynitride to form a contact hole therein exposing a portion of the oxynitride etch stop layer over at least a portion of the exposed conductive structure. The etch process not appreciably etching the oxynitride etch stop layer and including: a fluorine containing gas; an inert gas; and a weak oxidant. The exposed portion of the oxynitride etch stop layer over at least a portion of the conductive structure is removed. A borderless contact is formed within the contact hole. The borderless contact being in electrical connection with at least a portion of the conductive structure.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: August 20, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming Huan Tsai, Bao-Ching Pen, Mei-Ru Kuo, Hun-Jan Tao
  • Patent number: 6432830
    Abstract: Process for treating a semiconductor substrate 25, polymeric etchant deposits 190, silicon lattice damage 195, and native silicon dioxide layers 185, are removed in sequential process steps. The polymeric etchant deposits 190 are removed using an activated cleaning gas comprising inorganic fluorinated gas and an oxygen gas. Silicon lattice damage 195 are etched using an activated etching gas. Thereafter, an activated reducing gas comprising a hydrogen-containing gas is used to reduce the native silicon dioxide layer 185, on the substrate 25, to a silicon layer. Subsequently, a metal layer 200 is deposited on the substrate 25 and the substrate annealed to form a metal silicide layer 205. Removal of the polymeric etchant deposits 190, the silicon lattice damage 195, and the native silicon oxide layer 185 increases the interfacial conductivity of the metal silicide layer 205 to the underlying silicon-containing substrate 25.
    Type: Grant
    Filed: May 15, 1998
    Date of Patent: August 13, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Walter Richardson Merry