Utilizing Antireflective Layer Patents (Class 438/952)
  • Patent number: 9448483
    Abstract: Pattern shrink methods comprise: (a) providing a semiconductor substrate comprising one or more layers to be patterned; (b) providing a resist pattern over the one or more layers to be patterned; (c) coating a shrink composition over the pattern, wherein the shrink composition comprises a polymer and an organic solvent, wherein the polymer comprises a group containing a hydrogen acceptor effective to form a bond with an acid group and/or an alcohol group at a surface of the resist pattern, and wherein the composition is free of crosslinkers; and (d) rinsing residual shrink composition from the substrate, leaving a portion of the polymer bonded to the resist pattern. Also provided are pattern shrink compositions, and coated substrates and electronic devices formed by the methods. The invention find particular applicability in the manufacture of semiconductor devices for providing high resolution patterns.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: September 20, 2016
    Assignees: Dow Global Technologies LLC, Rohm and Haas Electronic Materials LLC
    Inventors: Phillip D. Hustad, Jong Keun Park, Jin Wuk Sung, James Heejun Park
  • Patent number: 8999840
    Abstract: A method of forming a micro pattern of a semiconductor device may include forming an acid-extinguisher containing film on a substrate, forming a photoresist film containing a potential acid on the acid-extinguisher containing film, forming an exposed area containing acids by exposing a portion of the photoresist film to light, forming an insoluble polymer thin film between the acid-extinguisher containing film and the exposed area by extinguishing the acids of the exposed area at an interface between the acid-extinguisher containing film and the exposed area, developing the photoresist film to form a space exposing the insoluble polymer thin film in the exposed area and a photoresist pattern integrally connected to the insoluble polymer thin film, exposing the acid-extinguisher containing film through the space by removing the insoluble polymer thin film, and removing the acid-extinguisher containing film exposed through the space.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: April 7, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Cha-won Koh
  • Patent number: 8912104
    Abstract: An integrated circuit may include a substrate in which transistors are formed. The transistors may be associated with blocks of circuitry. Some of the blocks of circuitry may be configured to reduce leakage current. A selected subset of the blocks of circuitry may be selectively heated to reduce the channel length of their transistors through dopant diffusion and thereby strengthen those blocks of circuitry relative to the other blocks of circuitry. Selective heating may be implemented by coating the blocks of circuitry on the integrated circuit with a patterned layer of material such as a patterned anti-reflection coating formed of amorphous carbon or a reflective coating. During application of infrared light, the coated and uncoated areas will rise to different temperatures, selectively strengthening desired blocks of circuitry on the integrated circuit.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: December 16, 2014
    Assignee: Altera Corporation
    Inventors: Deepa Ratakonda, Christopher J. Pass, Che Ta Hsu, Fangyun Richter, Wilson Wong
  • Patent number: 8900897
    Abstract: Devices are described including a component comprising an alloy of AlN and AlSb. The component has an index of refraction substantially the same as that of a semiconductor in the optoelectronic device, and has high transparency at wavelengths of light used in the optoelectronic device. The component is in contact with the semiconductor in the optoelectronic device. The alloy comprises between 0% and 100% AlN by weight and between 0% and 100% AlSb by weight. The semiconductor can be a III-V semiconductor such as GaAs or AlGaInP. The component can be used as a transparent insulator. The alloy can also be doped to form either a p-type conductor or an n-type conductor, and the component can be used as a transparent conductor. Methods of making and devices utilizing the alloy are also disclosed.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: December 2, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Philip Kraus, Thai Cheng Chua, Yoga Saripalli
  • Patent number: 8735301
    Abstract: A method for manufacturing a semiconductor integrated circuit includes providing a substrate having at least a metal hard mask formed thereon. Subsequently a patterning step is performed to the metal hard mask to form a patterned metal hard mask and followed by performing a H2O plasma treatment to the patterned metal hard mask.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: May 27, 2014
    Assignee: United Microelectronics Corp.
    Inventor: Chun-Lung Chen
  • Patent number: 8697336
    Abstract: The present invention provides a composition for forming a bottom anti-reflective coating, and also provides a photoresist pattern formation method employing that composition. The composition gives a bottom anti-reflective coating used in a lithographic process for manufacturing semiconductor devices, and the coating can be developed with a developing solution for photoresist. The composition contains a solvent, a polymer having a condensed polycyclic aromatic group, and a compound having a maleimide derivative or a maleic anhydride derivative. The composition may further contain a photo acid generator or a crosslinking agent.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: April 15, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Shigemasa Nakasugi, Kazuma Yamamoto, Yasushi Akiyama, Shinji Miyazaki, Munirathna Padmanaban, Srinivasan Chakrapani
  • Patent number: 8697341
    Abstract: An aromatic ring-containing polymer, an underlayer composition including the same, and associated methods, the aromatic ring-containing polymer including a group represented by one of the following Chemical Formulae 1-1, 1-2, 2-1, and 2-2:
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: April 15, 2014
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong-Ho Yoon, Jin-Kuk Lee, Hwan-Sung Cheon, Min-Soo Kim, Jee-Yun Song
  • Patent number: 8652762
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Dario L. Goldfarb, Libor Vyklicky, Sean D. Burns, David R. Medeiros, Daniel P. Sanders, Robert D. Allen
  • Patent number: 8623589
    Abstract: The present invention relates to an antireflective coating composition comprising a crosslinking agent, a polymer comprising at least one chromophore group and at least one hydroxyl and/or a carboxyl group, and an additive, further where the additive has structure 1 and comprises at least one arylene-hydroxyl moiety, where Y is selected from an carboxylate anion or sulfonate anion, R1, R2, and R3 are independently selected from unsubstituted C1-C8 alkyl, substituted C1-C8 alkyl, aryl and arylene-hydroxyl; X1, X2, and X3 are independently selected from direct valence bond and C1-C8 alkylene group, and, n=1, 2 or 3. The invention further relates to a process for using the composition.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: January 7, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Takanori Kudo, Alberto Dioses, Edward Ng, Srinivasan Chakrapani, Munirathna Padmanaban
  • Patent number: 8592318
    Abstract: A method for etching an etch layer disposed over a substrate and below an antireflective coating (ARC) layer and a patterned organic mask with mask features is provided. The substrate is placed in a process chamber. The ARC layer is opened. An oxide spacer deposition layer is formed. The oxide spacer deposition layer on the organic mask is partially removed, where at least the top portion of the oxide spacer deposition layer is removed. The organic mask and the ARC layer are removed by etching. The etch layer is etched through the sidewalls of the oxide spacer deposition layer. The substrate is removed from the process chamber.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Jisoo Kim, Conan Chiang, Jun Shinagawa, S. M. Reza Sadjadi
  • Patent number: 8568958
    Abstract: The present invention relates to an underlayer composition comprising a polymer, an organic titanate compound and optionally a thermal acid generator, where the polymer comprises at least one fluoroalcohol group and at least one epoxy group. The invention also relates to a process for using this underlayer material as an antireflective coating composition and/or a hard mask for pattern transfer.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: October 29, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Zachary Bogusz, PingHung Lu, WooKyu Kim, Mark Neisser
  • Patent number: 8519403
    Abstract: A method for forming a submicron device includes depositing a hard mask over a first region that includes a polysilicon well of a first dopant type and a gate of a second dopant type and a second region that includes a polysilicon well of a second dopant type and a gate of a first dopant type. The hard mask over the first region is removed. Angled implantation of the first dopant type is performed to form pockets under the gate of the second dopant type.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: August 27, 2013
    Assignee: Altera Corporation
    Inventors: Che Ta Hsu, Christopher J. Pass, Dale Ibbotson, Jeffrey T. Watt, Yanzhong Xu
  • Patent number: 8507192
    Abstract: A novel antireflective coating composition is provided, said antireflective coating composition comprising a) a compound of formula 1, b) a thermal acid generator, (c) at least one polymer, wherein U1 and U2 are independently a C1-C10 alkylene group; V is selected from a C1-C10 alkylene, arylene and aromatic alkylene; W is selected from H, C1-C10 alkyl, aryl, alkylaryl and V—OH; Y is selected from H, W, and U3C(O)OW, wherein U3 is independently a C1-C10 alkylene group, and m is 1 to 10. Also provided are methods using said compositions as antireflective coatings for substrates in lithographic processes.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: August 13, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Jianhui Shan, JoonYeon Cho, Salem K. Mullen
  • Patent number: 8460855
    Abstract: There is provided an underlayer coating forming composition for lithography that is used in lithography process of manufacture of semiconductor device; and an underlayer coating having a high dry etching rate compared with photoresist. Concretely, it is a composition for forming an underlayer without use of crosslinking reaction by an strong acid catalyst, and an underlayer coating forming composition containing a component having an epoxy group (a polymer, a compound) and a component having a phenolic hydroxyl group, a carboxyl group, a protected carboxyl group or an acid anhydride structure (a polymer, a compound).
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: June 11, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Takahiro Kishioka
  • Patent number: 8390015
    Abstract: An organic EL element includes a substrate and a light refractive layer, a first transparent electrode layer, an insulation layer, a hole transport layer, and a photosensitive resin layer stacked above the substrate. A luminous function layer is above the hole transport layer in a recess defined by the photosensitive resin layer. A second transparent electrode layer is above the luminous function layer. The light reflective layer, the first transparent electrode layer, the insulation layer, and the hole transport layer are formed above the substrate in a region isolated by the photosensitive resin layer. The photosensitive resin layer comprises a material that absorbs incident light of a predetermined wavelength. The first transparent electrode layer, the insulation layer, the hole transport layer, and the photosensitive resin layer each have a reflectance value that is between a local minimum value and a neighborhood value of the local minimum value.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: March 5, 2013
    Assignee: Panasonic Corporation
    Inventors: Seiji Nishiyama, Takashi Ohta
  • Patent number: 8329387
    Abstract: The present invention relates to an antireflective coating composition comprising a novel polymer without an aromatic chromophore, where the polymer comprises a structural unit derived from an aminoplast and a structural unit derived from a diol, triol, dithiol, trithiol, other polyols, diacid, triacid, other polyacids, diimide or mixture thereof, where the diol, dithiol, triol, trithiol, diacid, triacid, diimide, diamide or imide-amide optionally contain one or more nitrogen and/or sulfur atoms or contain one or more alkene groups. The invention also relates to the novel polymer and a process for using the novel antireflective coating composition in a lithographic process.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: December 11, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Jian Yin, Hengpeng Wu, Mark Neisser, Ralph Dammel
  • Patent number: 8318410
    Abstract: It is an object to provide a resist underlayer film forming composition having a selection ratio of dry etching rate larger than that of a resist film and exhibiting a low k value and a high n value at a short wavelength such as that of an ArF excimer laser, and enabling the formation of a resist pattern having a desired shape. When the composition is produced or used, it is required that odor due to a raw material monomer causes no problem. The object is solved by a resist underlayer film forming composition for lithography containing a polymer having in backbone thereof, a disulfide bond (S—S bond), and a solvent. The polymer may be a product of a reaction between at least one type of compound (diepoxy compound) containing two epoxy groups and at least one type of dicarboxylic acid containing a disulfide bond.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: November 27, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yoshiomi Hiroi, Tomohisa Ishida, Yoshihito Tsukamoto
  • Patent number: 8216775
    Abstract: The anti-reflection film forming material according to the present invention includes (a) a water soluble resin having a vinyl acetate constituent unit, and (b) a compound having a constituent unit represented by the following general formula. Wherein, R1 and R2 represent a direct bond or a methylene chain; R3 and R4 represent a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or a group represented by —(CH2)n—O—R5—R6; and at least one of R3 and R4 is a group represented by —(CH2)n—O—R5—R6, wherein: R5 represents a direct bond or an alkylene chain having 1 to 10 carbon atoms which may be interrupted with —O—; R6 represents an alkyl group having 1 to 10 carbon atoms in which a portion or all hydrogen atoms are substituted with a fluorine atom; and n represents an integer of 0 to 10. Wherein, the total number of carbon atoms present in R1 and R2 is 1 or 2.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: July 10, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yuriko Shirai, Takako Hirosaki, Masahiro Masujima, Atsushi Sawano, Jun Koshiyama
  • Patent number: 8153353
    Abstract: A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 10, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Jason Michael Neidrich
  • Patent number: 8119533
    Abstract: Provided is a semiconductor device. The device includes a substrate having a photo acid generator (PAG) layer on the substrate. The PAG layer is exposed to radiation. A photoresist layer is formed on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: February 21, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 8084193
    Abstract: A coating process comprises forming a patterned material layer on a substrate using a self-segregating polymeric composition comprising a polymeric photoresistive material and an antireflective coating material. The polymeric photoresistive material and the antireflective coating material that make up the self segregating composition are contained in a single solution. When depositing this solution on a substrate and removing the solvent, the two materials self-segregate into two layers. The substrate can comprise one of a ceramic, dielectric, metal, or semiconductor material and in some instances a material such as a BARC material that is not from the self segregating composition. The composition may also contain a radiation-sensitive acid generator and a base quencher. This produces a coated substrate having a uniaxial bilayer coating oriented in a direction orthogonal to the substrate with a top photoresistive coating layer and a bottom antireflective coating layer.
    Type: Grant
    Filed: July 12, 2008
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Dario L Goldfarb, David R Medeiros, Daniel P Sanders, Dirk Pfeifer, Libor Vylicky
  • Patent number: 8039389
    Abstract: In a making a semiconductor device, a patterning stack above a conductive material that is to be etched has a patterned photoresist layer that is used to pattern an underlying a tetraethyl-ortho-silicate (TEOS) layer. The TEOS layer is deposited at a lower temperature than is conventional. The low temperature TEOS layer is over an organic anti-reflective coating (ARC) that is over the conductive layer. The low temperature TEOS layer provides adhesion between the organic ARC and the photoresist, has low defectivity, operates as a hard mask, and serves as a phase shift layer that helps, in combination with the organic ARC, to reduce undesired reflection.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: October 18, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Douglas M. Reber, Mark D. Hall, Kurt H. Junker, Kyle W. Patterson, Tab Allen Stephens, Edward K. Theiss, Srikanteswara Dakshiina-Murthy, Marilyn Irene Wright
  • Patent number: 8026042
    Abstract: A polymer which has siloxane group at a main chain thereof and a composition including the same, for forming an organic anti-reflective coating layer are disclosed. The polymer for forming an organic anti-reflective coating layer is represented by following Formula. In Formula, R is hydrogen atom, C1˜C20 alkyl group, C1˜C10 alcohol group or epoxy group, R1 is independently hydrogen atom, n is an integer of 1-50, R2 is C1˜C20 alkyl group, C3˜C20 cycloalkyl group, C6˜C20 aryl group or C7˜C12 arylalkyl group, R3 is hydrogen atom, C1˜C10 alcohol group or epoxy group and POSS is a polyhedral oligosilsesquioxane.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: September 27, 2011
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Sang-Jeoung Kim, Hyo-Jung Roh, Jong-Kyoung Park, Jeong-Sik Kim, Hyun-Jin Kim, Jae-Hyun Kim
  • Patent number: 8021826
    Abstract: The present invention provides an organic anti-reflection coating composition comprising a copolymer represented by the following Formula 1, a light absorbent, a thermal acid generating agent, and a curing agent: wherein R1, R2 and R3 are each independent to each; R1 represents hydrogen or an alkyl group having 1 to 10 carbon atoms; R2 represents hydrogen, an alkyl group having 1 to 10 carbon atoms or an arylalkyl group having 1 to 20 carbon atoms; R3 is hydrogen or a methyl group; m and n are repeating units in the main chain, while m+n=1, and they have values of 0.05<m/(m+n)<0.95 and 0.05<n/(m+n)<0.95. The anti-reflection coating using the polymer of the invention has excellent adhesiveness and storage stability, and a very high dry etching rate, and exhibits excellent resolution in both C/H patterns and L/S patterns.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: September 20, 2011
    Assignee: Korea Kumho Petrochemicals Co., Ltd.
    Inventors: Myung-Woong Kim, Joo-Hyeon Park, Young-Taek Lim, Hyung-Gi Kim, Jun-Ho Lee, Jong-Don Lee, Seung-Duk Cho
  • Patent number: 7981594
    Abstract: A hardmask composition includes an organic solvent and one or more aromatic ring-containing polymers represented by Formula 1, 2 and 3:
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: July 19, 2011
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Hwan Sung Cheon, Chang Il Oh, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7968270
    Abstract: A lithographic structure consisting essentially of: an organic antireflective material disposed on a substrate; a vapor-deposited RCHX material, wherein R is one or more elements selected from the group consisting of Si, Ge, B, Sn, Fe and Ti, and wherein X is not present or is one or more elements selected from the group consisting of O, N, S and F; and a photoresist material disposed on the RCHX material. The invention is also directed to methods of making the lithographic structure, and using the structure to pattern a substrate.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: June 28, 2011
    Assignee: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Katherina E. Babich, Sean D. Burns, Richard A. Conti, Allen H. Gabor, Scott D. Halle, Arpan P. Mahorowala, Dirk Pfeiffer
  • Patent number: 7955782
    Abstract: Bottom antireflective coating (BARC) that exhibit enhanced wet strip rates, BARC compositions for fabricating such BARCs, and methods for manufacturing such BARC compositions are provided. According to one exemplary embodiment, a bottom antireflective coating (BARC) composition comprises an inorganic-based compound, an absorbing material, and a wet strip-rate modifier combination. The wet strip-rate modifier composition comprises a combination of a short chain linear alcohol and dipropylene glycol (DPG), a combination of the short chain linear alcohol and tetraethylene glycol (TEG), a combination of DPG and TEG, or a combination of the short chain linear alcohol, DPG, and TEG.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: June 7, 2011
    Assignee: Honeywell International Inc.
    Inventors: Sudip Mukhopadhyay, Joseph Kennedy, Yamini Pandey, Jelena Sepa
  • Patent number: 7935636
    Abstract: An insulating film is formed on a main surface of a substrate. A conductive film is formed on the insulating film. A lower layer resist film, an intermediate layer, an anti-reflection film and an upper layer resist film are formed on the conductive film. A focal point at a time of exposure is detected by detecting a height of the upper layer resist film. In detecting the focal point at the time of exposure, a focal point detection light is radiated on the upper layer resist film. After detecting the focal point, the upper layer resist film is exposed and developed thereby to form a resist pattern. With the resist pattern as a mask, the intermediate layer and the anti-reflection film are patterned, and the lower layer resist film is developed. With these patterns as a mask, the conductive film is etched thereby to form a gate electrode.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: May 3, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takeo Ishibashi
  • Patent number: 7879530
    Abstract: A composition comprising (A) a polymer having an alcohol structure with plural fluorine atoms substituted at ?- and ??-positions and having k=0.01-0.4 and (B) an aromatic ring-containing polymer having k=0.3-1.2 is used to form an antireflective coating. The ARC-forming composition can be deposited by the same process as prior art ARCs. The resulting ARC is effective in preventing reflection of exposure light in photolithography and has an acceptable dry etching rate.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: February 1, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Seiichiro Tachibana, Kazumi Noda, Jun Hatakeyama, Takeshi Kinsho
  • Patent number: 7862990
    Abstract: An antireflective hardmask composition includes an organic solvent, an initiator, and at least one polymer represented by Formulae A, B, or C as set forth in the specification.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: January 4, 2011
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyung Hee Hyung, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7855142
    Abstract: Methods of forming dual-damascene metal interconnect structures include forming an electrically insulating layer on an integrated circuit substrate and then forming a hard mask layer on the electrically insulating layer. The hard mask layer may include a stacked composite of at least four electrically insulating material layers therein. The hard mask layer may also have separate trench and via patterns therein that are respectively defined by at least first and second ones of the electrically insulating material layers and at least third and fourth ones of the electrically insulating material layers.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: December 21, 2010
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Young Mook Oh, Youngjin Choi
  • Patent number: 7842606
    Abstract: Disclosed herein are a method of depositing a thin film and a method of manufacturing a semiconductor using the same, having high selectivity by increasing etching resistance while an extinction coefficient associated with anti-reflectivity is maintained low. The method of depositing a thin film according to the invention includes (a) depositing an carbon anti-reflective film on the bottom film of a substrate; and (b) adding a compound containing nitrogen (N), fluorine (F) or silicon (Si) to the surface or the inner portion of the carbon anti-reflective film, to deposit a thin film of a-C:N, a-C:F or a-C:Si, having high selectivity, to a thickness from 1 to 100 nm using an atomic layer deposition process. Therefore, an ultrathin film having etching resistance is formed on or in the carbon anti-reflective film and the density and compressive stress of the carbon anti-reflective film are increased, thus increasing etching selectivity.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: November 30, 2010
    Assignee: Integrated Process Systems Ltd
    Inventors: Ki Hoon Lee, Young Hoon Park, Sahng Kyoo Lee, Tae Wook Seo, Ho Seung Chang
  • Patent number: 7829650
    Abstract: A polymer which has siloxane group at a main chain thereof and a composition including the same, for forming an organic anti-reflective coating layer are disclosed. The polymer for forming an organic anti-reflective coating layer is represented by following Formula. In Formula, R is hydrogen atom, C1˜C20 alkyl group, C1˜C10 alcohol group or epoxy group, R1 is independently hydrogen atom, n is an integer of 1-50, R2 is C1˜C20 alkyl group, C3˜C20 cycloalkyl group, C6˜C20 aryl group or C7˜C12 arylalkyl group, R3 is hydrogen atom, C1˜C10 alcohol group or epoxy group and POSS is a polyhedral oligosilsesquioxane.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: November 9, 2010
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Sang-Jeoung Kim, Hyo-Jung Roh, Jong-Kyoung Park, Jeong-Sik Kim, Hyun-Jin Kim, Jae-Hyun Kim
  • Patent number: 7824837
    Abstract: The present invention relates to a positive bottom photoimageable antireflective coating composition which is capable of being developed in an aqueous alkaline developer, wherein the antireflective coating composition comprises a polymer comprising at least one recurring unit with a chromophore group and one recurring unit with a hydroxyl and/or a carboxyl group, a vinyl ether terminated crosslinking agent, and optionally, a photoacid generator and/or an acid and/or a thermal acid generator. The invention further relates to a process for using such a composition.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: November 2, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Hengpeng Wu, Mark O. Neisser, Shuji S Ding-Lee, Aritaka Hishida, Joseph E. Oberlander, Medhat E. Toukhy
  • Patent number: 7816069
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Colin J. Brodsky, Sean D. Burns, Dario L. Goldfarb, Michael Lercel, David R. Medeiros, Dirk Pfeiffer, Daniel P. Sanders, Steven A. Scheer, Libor Vyklicky
  • Patent number: 7794919
    Abstract: There is provided an underlayer coating forming composition for lithography that is used in lithography process of manufacture of semiconductor device; and an underlayer coating having a high dry etching rate compared with photoresist. Concretely, it is a composition for forming an underlayer without use of crosslinking reaction by an strong acid catalyst, and an underlayer coating forming composition containing a component having an epoxy group (a polymer, a compound) and a component having a phenolic hydroxyl group, a carboxyl group, a protected carboxyl group or an acid anhydride structure (a polymer, a compound).
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: September 14, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Takahiro Kishioka
  • Patent number: 7790356
    Abstract: There is provided an anti-reflective coating forming composition comprising a polymer having a pyrimidinetrione structure, imidazolidinedione structure, imidazolidinetrione structure or triazinetrione structure and a solvent. The anti-reflective coating obtained from the composition has a high preventive effect for reflected light, causes no intermixing with photoresists, and can use in lithography process by use of a light having a short wavelength such as ArF excimer laser beam (wavelength 193 nm) or F2 excimer laser beam (wavelength 157 nm), etc.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: September 7, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Rikimaru Sakamoto, Yoshiomi Hiroi, Daisuke Maruyama
  • Patent number: 7781320
    Abstract: The present invention is directed to a method for manufacturing a semiconductor device by forming an ultraviolet radiation absorbing film of a silicon-rich film above a semiconductor substrate, measuring an extinction coefficient of the ultraviolet radiation absorbing film of a silicon-rich film for ultraviolet radiation, and etching the ultraviolet radiation absorbing film of a silicon-rich film under an etching condition using an oxygen gas flow rate corresponding to the extinction coefficient.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: August 24, 2010
    Assignee: Spansion LLC
    Inventors: Seiji Yokoyama, Yuuichirou Sekimoto, Shinichi Imada
  • Patent number: 7759046
    Abstract: The present invention discloses novel bottom anti-reflective coating compositions where a coating from the composition has an etch rate that can be regulated by the etch plate temperature.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: July 20, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan, Mark Neisser
  • Patent number: 7754820
    Abstract: The present invention discloses a composition suitable for use as a top antireflective coating and barrier layer for 193 nm lithography. The inventive composition is soluble in aqueous base solutions and has low refractive index at 193 nm. The inventive composition comprises an aqueous base-soluble polymer having a backbone and a fluorinated half ester moiety. The fluorinated half ester moiety is pendant from the backbone. The present invention also discloses a method of forming a patterned layer on a substrate by using the inventive composition in lithography.
    Type: Grant
    Filed: July 21, 2008
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song S. Huang, Wenjie Li, Pushkara R. Varanasi
  • Patent number: 7754579
    Abstract: A method of forming a semiconductor device includes depositing a fill material (4) on a substrate portion (2) and on a dielectric layer (3) being disposed on the substrate (1) and having an opening (10) located above the substrate portion (2), removing the fill material (4) disposed above the dielectric layer (3), thereby leaving an exposed top surface (6) of the dielectric layer (3) and residual fill material (15) within the opening (10), forming a hard mask material (5) on the exposed top surface (6) of the dielectric layer (3) and on the residual fill material (15), patterning the hard mask material (5) for forming a hard mask (25) having trenches (8a, 8b) extending along a lateral direction (X) and exposing portions of the residual fill material (15) adjacent to the dielectric layer (3) and portions of the dielectric layer (3) adjacent to the residual fill material (15), anisotropically etching the dielectric layer (3), the residual fill material (15) and the substrate (1) selectively to the hard mask (5)
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: July 13, 2010
    Assignee: Qimonda AG
    Inventors: Kimberly Wilson, Hans-Peter Moll, Rolf Weis, Phillip Stopford, Frank Ludwig
  • Patent number: 7678537
    Abstract: A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: March 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Brock, Daniel P. Sanders, Linda K. Sundberg
  • Patent number: 7666575
    Abstract: The present invention relates to an antireflective coating composition comprising, (i) a thermal acid generator; (ii) a crosslinkable polymer comprising at least one aromatic group; and, (iii) a polymeric crosslinker comprising at least one unit of structure (6), where R11 to R13 is independently selected from H, (C1-C6) alkyl and aromatic group, R14 and R15 are independently (C1-C10) alkyl. The invention also relates to a process for imaging the antireflective coating composition of the present invention.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: February 23, 2010
    Assignee: AZ Electronic Materials USA Corp
    Inventors: Woo-Kyu Kim, Hengpeng Wu, David Abdallah, Mark Neisser, PingHung Lu, Ruzhi Zhang, M. Dalil Rahman
  • Patent number: 7648918
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate, forming a photo acid generator (PAG) layer on the substrate, exposing the PAG layer to radiation, and forming a photoresist layer on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: January 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 7638262
    Abstract: The invention relates to an antireflective coating composition for a photoresist layer comprising a polymer, a crosslinking agent and an acid generator, where the polymer comprises at least one unit of structure 1, where, A is a nonaromatic linking moiety, R? and R? are independently selected from hydrogen, Z and W—OH, where Z is a (C1-C20) hydrocarbyl moiety and W is a (C1-C20) hydrocarbyl linking moiety, and, Y? is independently a (C1-C20) hydrocarbyl linking moiety. The invention further relates to a process for imaging the antireflective coating composition.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: December 29, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Hengpeng Wu, Zhong Xiang, Hong Zhuang, Jianhui Shan, Jian Yin, Huirong Yao, PingHung Lu
  • Patent number: 7632626
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer having an ethylenedicarbonyl structure and a solvent; an anti-reflective coating formed from the composition; and a method for forming photoresist pattern by use of the composition. The anti-reflective coating obtained from the composition can be used in lithography process for manufacturing a semiconductor device, has a high preventive effect for reflected light, causes no intermixing with photoresists, and has a higher etching rate than photoresists.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: December 15, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Rikimaru Sakamoto
  • Patent number: 7629110
    Abstract: A monomer for forming an organic anti-reflective coating layer, a polymer thereof and a composition including the same are disclosed. In a photolithography process, the organic anti-reflective coating layer absorbs an exposed light between a layer to be etched and a photoresist layer, and prevents a photoresist pattern from collapsing due to a standing wave generated under the photoresist layer. The polymer for forming an organic anti-reflective coating layer includes a repeating unit represented by Formula wherein, R1 is a hydrogen atom, a methyl group or an ethyl group, R2 is a C1˜C20 alkylene group, a C3˜C20 cycloalkylene group or a C6˜C20 aromatic hydrocarbon group, POSS is a polyhedral-oligomeric-silsesquioxane, and m is an integer of 2 to 110.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: December 8, 2009
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Sang-Jeoung Kim, Hyo-Jung Roh, Jong-Kyoung Park, Jeong-Sik Kim, Hyun-Jin Kim, Jae-Hyun Kim
  • Patent number: 7615497
    Abstract: A method for forming a fine pattern of a semiconductor device includes forming a deposition film over a substrate having an underlying layer. The deposition film includes first, second, and third mask films. The method also includes forming a photoresist pattern over the third mask film, patterning the third mask film to form a deposition pattern, and forming an amorphous carbon pattern at sidewalls of the deposition pattern. The method further includes filling a spin-on-carbon layer over the deposition pattern and the amorphous carbon pattern, polishing the spin-on-carbon layer, the amorphous carbon pattern, and the photoresist pattern to expose the third mask pattern, and performing an etching process to expose the first mask film with the amorphous carbon pattern as an etching mask. The etching process removes the third mask pattern and the exposed second mask pattern.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: November 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Kyu Bok, Keun Do Ban
  • Patent number: 7608390
    Abstract: The present invention discloses a composition suitable for use as a top antireflective coating and barrier layer for immersion lithography. The inventive composition is soluble in aqueous base solutions and insoluble in water. The inventive composition comprises a polymer having at least one hydrophobic moiety, at least one acidic moiety with a pKa of 1 or less, and at least one aqueous base soluble moiety. The present invention also discloses a method of forming a patterned layer on a substrate by using the inventive composition in lithography.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Mahmoud Khojasteh, Wu-Song Huang, Margaret C. Lawson, Kaushal S. Patel, Irene Popova, Pushkara R. Varanasi
  • Patent number: 7601483
    Abstract: Novel, wet developable anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker and a photoacid generator. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light, the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers).
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: October 13, 2009
    Assignee: Brewer Science Inc.
    Inventors: Douglas J. Guerrero, Robert Christian Cox, Marc W. Weimer