For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20150111805
    Abstract: Methods and systems for cleaning corrosion product of a metallic layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Application
    Filed: December 24, 2014
    Publication date: April 23, 2015
    Inventor: Artur Kolics
  • Publication number: 20150111804
    Abstract: This disclosure relates to a cleaning composition that contains 1) at least one chelating agent, the chelating agent being a polyaminopolycarboxylic acid; 2) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 3) at least one monocarboxylic acid containing a primary or secondary amino group and at least one additional basic group containing nitrogen; 4) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; and 5) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate.
    Type: Application
    Filed: September 15, 2014
    Publication date: April 23, 2015
    Inventors: Thomas Dory, Bing Du, Tomonori Takahashi, Emil A. Kneer
  • Patent number: 9012387
    Abstract: Resist stripping agents useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits and/or liquid crystals with reduced metal and metal alloy etch rates (particularly copper etch rates and TiW etch rates), are provided with methods for their use. The preferred stripping agents contain low concentrations of resorcinol or a resorcinol derivative, with or without an added copper salt, and with or without an added amine to improve solubility of the copper salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: April 21, 2015
    Assignee: Dynaloy, LLC
    Inventors: John Atkinson, Kimberly Dona Pollard, Gene Goebel
  • Publication number: 20150105308
    Abstract: A method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process is disclosed, including a multi-step residue cleaning, including exposing the substrate to an aqua regia solution, followed by an exposure to a solution having hydrochloric acid and hydrogen peroxide. The SC2 solution can further react with remaining platinum residues, rendering it more soluble in an aqueous solution and thereby dissolving it from the surface of the substrate.
    Type: Application
    Filed: December 18, 2014
    Publication date: April 16, 2015
    Inventors: Anh Duong, Clemens Fitz, Olov Karlsson
  • Patent number: 9006164
    Abstract: The present invention is directed to provide a resist remover composition for semiconductor substrate which enables to remove a resist simply and easily in the photolithography process in the semiconductor field, and a method for removing a resist comprising that the composition is used. The present invention relates to a resist remover composition for semiconductor substrate, comprising [I] a carbon radical generating agent, [II] an acid, [III] a reducing agent, and [IV] an organic solvent, and having pH of lower than 7, and a method for removing a resist, comprising that the composition is used.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 14, 2015
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Masahiko Kakizawa
  • Patent number: 8999069
    Abstract: A method for producing cleaning water for an electronic material, includes obtaining oxygen gas and argon gas from air with a PSA oxygen concentration apparatus, dissolving the oxygen gas and argon gas obtained from the PSA oxygen concentration apparatus in pure water or ultrapure water. A concentration of dissolved oxygen is in a range of 8 to 50 mg/L, and a content of dissolved argon gas is in a range of 2 to 50 volume % of a total amount of dissolved oxygen gas and the dissolved argon gas.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: April 7, 2015
    Assignee: Kurita Water Industries Ltd.
    Inventors: Junichi Ida, Hiroto Tokoshima
  • Publication number: 20150094248
    Abstract: A liquid removal composition and process for removing sacrificial anti-reflective coating (SARC) material from a substrate having same thereon. The liquid removal composition includes at least one fluoride-containing compound, at least one organic solvent, optionally water, and optionally at least one chelating agent. The composition achieves at least partial removal of SARC material in the manufacture of integrated circuitry with minimal etching of metal species on the substrate, such as aluminum, copper and cobalt alloys, and without damage to low-k dielectric materials employed in the semiconductor architecture.
    Type: Application
    Filed: December 2, 2014
    Publication date: April 2, 2015
    Inventors: Melissa K. RATH, David D. BERNHARD, Thomas H. BAUM, David W. MINSEK
  • Patent number: 8987181
    Abstract: A photoresist and post etch cleaning solution for semiconductor wafers comprising: A. a polar aprotic solvent, B. an inorganic base; C. a co-solvent for said inorganic base; D. a unsaturated cycloaliphatic compound having a ring ether group and at least one substituent bearing a primary hydroxyl group; E. an organic base comprising an amine compound; and F. a nonionic surfactant bearing at least one ether group. The wafer containing photoresist residue or post etch residue can be cleaned by contacting the solution in a spray or immersion.
    Type: Grant
    Filed: October 15, 2012
    Date of Patent: March 24, 2015
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, Donald Pfettscher, Meagan Hatfield, Spencer Erich Hochstetler, Nichelle M. Wheeler, Michael T. Phenis
  • Patent number: 8980812
    Abstract: There are provided a processing liquid for suppressing pattern collapse of a microstructure which includes at least one compound selected from the group consisting of an imidazolium halide containing an alkyl group having 12, 14 or 16 carbon atoms, a pyridinium halide containing an alkyl group having 14 or 16 carbon atoms and an ammonium halide containing an alkyl group having 16 or 18 carbon atoms, and water; and a method for producing a microstructure formed of silicon oxide using the processing liquid.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: March 17, 2015
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Masaru Ohto
  • Patent number: 8969275
    Abstract: The aqueous alkaline cleaning composition comprising (A) at least one thioamino acid having at least one secondary or tertiary amino group and at least one mercapto group and (B) at least one quaternary ammonium hydroxide; the use of the alkaline cleaning composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline cleaning composition.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: March 3, 2015
    Assignee: BASF SE
    Inventors: Raimund Mellies, Andreas Klipp
  • Patent number: 8969276
    Abstract: An aqueous acidic etching solution suitable for texturing the surface of single crystal and polycrystal silicon substrates and containing, based on the complete weight of the solution, 3 to 10% by weight of hydrofluoric acid; 10 to 35% by weight of nitric acid; 5 to 40% by weight of sulfuric acid; and 55 to 82% by weight of water; a method for texturing the surface of single crystal and polycrystal silicon substrates comprising the step of (1) contacting at least one major surface of a substrate with the said aqueous acidic etching solution; (2) etching the at least one major surface of the substrate for a time and at a temperature sufficient to obtain a surface texture consisting of recesses and protrusions; and (3) removing the at least one major surface of the substrate from the contact with the aqueous acidic etching solution; and a method for manufacturing photovoltaic cells and solar cells using the said solution and the said texturing method.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: March 3, 2015
    Assignee: BASF SE
    Inventors: Simon Braun, Julian Proelss, Ihor Melnyk, Michael Michel, Stefan Mathijssen
  • Patent number: 8956462
    Abstract: The objects of the present invention are to provide a treatment liquid able to inhibit pattern collapse in a microstructure such as a semiconductor device or a micromachine, as well as a method of manufacturing a microstructure using the same. Means to solve the problems is to treat a microstructure with a treatment liquid for inhibiting pattern collapse in a metal microstructure comprising an alkylphosphonic acid or salt thereof in which said alkyl moiety contains 6 to 18 carbon atoms, water, and a water soluble solvent.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: February 17, 2015
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Kimihiro Aoyama
  • Patent number: 8957006
    Abstract: A chemical solution for use in cleaning a patterned substrate includes water, from approximate 0.01 to 99.98 percent by weight; hydrogen peroxide, from 0 to 30 percent by weight; a pH buffering agent, from approximate 0.01 to 50 percent by weight; a metal chelating agent, from approximate 0 to 10 percent by weight; and a compound for lowering a surface tension of the combination of water, hydrogen peroxide, pH buffering agent, and metal chelating agent. Examples of the compound include an organic solvent, from approximate 0 to 95 percent by weight, or a non-ionic surfactant agent, from approximate 0 to 2 percent by weight.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yuan Ting, Jeng-Shiou Chen
  • Patent number: 8957005
    Abstract: A silicon wafer cleaning agent includes at least a water-based cleaning liquid, and a water-repellent cleaning liquid for providing water-repellent to an uneven pattern at least at recessed portions during a cleaning process. The water-repellent cleaning liquid is a liquid composed of a water-repellent compound containing a reactive moiety which is chemically bondable to Si in the silicon wafer, and a hydrophobic group, or is a liquid wherein 0.1 mass % or more of the water-repellent compound relative to the total quantity of 100 mass % of the water-repellent cleaning liquid and an organic solvent are mixed and contained therein. A cleaning process wherein a pattern collapse is easily induced can be improved by using the cleaning agent.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: February 17, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Soichi Kumon, Masanori Saito, Takashi Saio, Hidehisa Nanai, Yoshinori Akamatsu
  • Publication number: 20150045277
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one azole corrosion inhibitor, at least one reducing agent, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers, wherein the barrier layers are substantially devoid of tantalum or titanium.
    Type: Application
    Filed: March 14, 2013
    Publication date: February 12, 2015
    Applicant: Entegris, Inc.
    Inventors: Jun Liu, Trace Quentin Hurd, Laisheng Sun, Steven Medd, Shrane Ning Jenq
  • Patent number: 8951949
    Abstract: A composition for stripping a color filter and a color filter regeneration method are provided. A composition for stripping the color filter according to an exemplary embodiment of the present invention includes glycol and potassium hydroxide (KOH), in which either (a) the concentration of the glycol is in the range of 83 wt % to 91 wt % and of the concentration of the potassium hydroxide satisfies the condition: (wt % of KOH)?6?(0.065*(wt % of the glycol)), or (b) the concentration of glycol is more than 91 wt % and the concentration of potassium hydroxide (KOH) is more than 0.2 wt %.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: February 10, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Kwang-Ho Lee, Jang-Sub Kim
  • Patent number: 8951950
    Abstract: Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of CnH2n+1, where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 10, 2015
    Inventor: Chia-Yin Joyce Wei
  • Patent number: 8951948
    Abstract: A liquid removal composition and process for removing sacrificial anti-reflective coating (SARC) material from a substrate having same thereon. The liquid removal composition includes at least one fluoride-containing compound, at least one organic solvent, optionally water, and optionally at least one chelating agent. The composition achieves at least partial removal of SARC material in the manufacture of integrated circuitry with minimal etching of metal species on the substrate, such as aluminum, copper and cobalt alloys, and without damage to low-k dielectric materials employed in the semiconductor architecture.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: February 10, 2015
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Melissa K. Rath, David D. Bernhard, Thomas H. Baum, David W. Minsek
  • Patent number: 8940104
    Abstract: A cleaning composition for removing temporary wafer bonding material is provided. The cleaning composition comprises an alkylarylsulfonic acid and an aliphatic alcohol dispersed or dissolved in a hydrocarbon solvent system. Methods of separating bonded substrates and cleaning debonded substrates using the cleaning composition are also provided. The invention is particularly useful for temporary bonding materials and adhesives. The methods generally comprise contacting the bonding material with the cleaning solution for time periods sufficient to dissolve the desired amount of bonding material for separation and/or cleaning of the substrates.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: January 27, 2015
    Assignee: Brewer Science Inc.
    Inventors: Xing-Fu Zhong, John Moore
  • Publication number: 20150024989
    Abstract: Cleaning solutions and processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an acidic aqueous cleaning solution free of a fluorine containing compound, the acidic aqueous cleaning solution including at least one antioxidant and at least one non-oxidizing acid.
    Type: Application
    Filed: October 9, 2014
    Publication date: January 22, 2015
    Inventors: Vishal Chhabra, Laertis Economikos, John A. Fitzsimmons, James Hannah, Mahmoud Khojasteh, Jennifer Muncy
  • Publication number: 20150018261
    Abstract: A post chemical-mechanical-polishing (post-CMP) cleaning composition comprising: (A) at least one compound comprising at least one thiol (—SH), thioether (—SR1) or thiocarbonyl (>C?S) group, wherein R1 is alkyl, aryl, alkylaryl or arylalkyl, (B) at least one sugar alcohol which contains at least three hydroxyl (—OH) groups and does not comprise any carboxylic acid (—COOH) or carboxylate (—COO—) groups, and (C) an aqueous medium.
    Type: Application
    Filed: January 24, 2013
    Publication date: January 15, 2015
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Shyam Sundar Venkataraman, Mingjie Zhong
  • Patent number: 8927476
    Abstract: Aqueous alkaline composition free from organic solvents and metal ion-free silicates, the said compositions comprising (A) a thioamino acid having at least one primary amino group and at least one mercapto group, (B) a quaternary ammonium hydroxide, (C) a chelating and/or corrosion inhibiting agent selected from the group consisting of aliphatic and cycloaliphatic amines having at least two primary amino groups, and aliphatic and cycloaliphatic amines having at least one hydroxy group, (D) a nonionic surfactant selected from the group of acetylenic alcohols, alkyloxylated acetylenic alcohols and alkyloxylated sorbitan monocarboxylic acid mono esters; the use of the alkaline composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline composition.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: January 6, 2015
    Assignee: BASF SE
    Inventors: Raimund Mellies, Andreas Klipp
  • Patent number: 8926758
    Abstract: A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 6, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Indranil De, Anh Duong
  • Publication number: 20150000697
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: July 1, 2014
    Publication date: January 1, 2015
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Patent number: 8921296
    Abstract: Methods and systems for cleaning corrosion product of a metallic capping layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: December 30, 2014
    Assignee: Lam Research Corporation
    Inventor: Artur Kolics
  • Patent number: 8916338
    Abstract: Processes associated apparatus and compositions useful for removing organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: November 19, 2013
    Date of Patent: December 23, 2014
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire, Spencer Erich Hochstetler, Richard Dalton Peters, Rodney Scott Armentrout, Darryl W. Muck
  • Publication number: 20140371124
    Abstract: An object of the present invention is to provide a good cleaning liquid for semiconductor device which is used after a CMP step, and the present invention relates to a cleaning liquid for semiconductor device containing the following components (1) to (5) or (1)? to (4)?: (1) an inorganic alkali; (2) a chelating agent; (3) an anionic surfactant selected from sulfonic acid type and sulfuric acid type anionic surfactants; (4) an amine oxide type surfactant; and (5) water, or (1)? an inorganic alkali; (2)? a carboxyl group-containing chelating agent; (3)? an anionic surfactant selected from a benzenesulfonic acid substituted with an alkyl group having from 8 to 20 carbon atoms and a salt thereof; and (4)? water.
    Type: Application
    Filed: August 18, 2014
    Publication date: December 18, 2014
    Applicant: MITSUBISHI CHEMICAL CORPORATION
    Inventors: Ken HARADA, Atsushi ITO, Toshiyuki SUZUKI
  • Patent number: 8912134
    Abstract: A method of cleaning copper material surfaces in ultra large scale integrated circuits after polishing, the method including: a) mixing and stirring between 1 and 4 wt. % of a surfactant, between 0.5 and 3 wt. % of a chelating agent, between 0.1 and 5 wt. % of a corrosion inhibitor, and deionized water, to yield a water soluble cleaning solution with pH value of between 7.4 and 8.2; and b) washing the copper material surfaces using the cleaning solution after alkaline chemical-mechanical polishing under following conditions: between 2000 and 3000 Pa of pressure; between 1000 and 5000 mL/min of flow rate; and at least between 0.5 and 2 min of washing time.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: December 16, 2014
    Assignee: Hebei University of Technology
    Inventors: Yuling Liu, Xiaoyan Liu, Na Liu, Yangang He
  • Patent number: 8906838
    Abstract: Cleaning compositions suitable for cleaning microelectronic structures having silicon dioxide, low-k or high-k di-electrics and copper or aluminum metallizations contain a polar organic solvent selected from amides, sulfones, sulfolenes, selenones and saturated alcohols and a strong alkaline base.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: December 9, 2014
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Chien-Pin Sherman Hsu
  • Publication number: 20140357052
    Abstract: The invention provides a substrate detergent composition used for cleaning a surface of a substrate, comprising: (A) A quaternary ammonium salt: 0.1 to 2.0% by mass; (B) Water: 0.1 to 0.4% by mass; and (C) An organic solvent: 94.0 to 99.8% by mass. There can be provided a substrate detergent composition used for cleaning a surface of a substrate contaminated with a silicone component whose water contact angle is 100° or more.
    Type: Application
    Filed: May 13, 2014
    Publication date: December 4, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaya UENO, Hideyoshi YANAGISAWA
  • Publication number: 20140352739
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: June 16, 2014
    Publication date: December 4, 2014
    Inventors: Jeffrey A. BARNES, Jun LIU, Peng ZHANG
  • Patent number: 8900371
    Abstract: The present invention provides a cleaning agent for a substrate and a cleaning method thereof, which can effectively remove fine particles (particles) present on a surface of substrate or impurities derived from various kinds of metals (metallic impurities), without causing roughness surface of a substrate, in particular, a semiconductor substrate, and without causing corrosion or oxidation of metal wirings, in particular, copper wirings, provided on a surface of substrate, and can further remove at the same time a carbon defect present on a surface of substrate, without removing a metal corrosion inhibitor—Cu film, in particular, a Cu-BTA film.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: December 2, 2014
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Masahiko Kakizawa, Ichiro Hayashida
  • Patent number: 8889609
    Abstract: A water-rich hydroxylamine formulation for photoresist and post-etch/post-ash residue removal in applications wherein a semiconductor substrate comprises aluminum. The cleaning composition comprises from about 2 to about 15% by wt. of hydroxylamine; from about 50 to about 80% by wt. of water; from about 0.01 to about 5.0% by wt. of a corrosion inhibitor; from about 5 to about 45% by wt. of a component selected from the group consisting of: an alkanolamine having a pKa<9.0, a water-miscible solvent, and a mixture thereof. Employment of such composition exhibits efficient cleaning capability for Al substrates, minimal silicon etch while protecting aluminum for substrates comprising both materials.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: November 18, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Yi-Chia Lee, Wen Dar Liu, Machukar Bhaskara Rao, Gautam Banerjee
  • Patent number: 8877697
    Abstract: An object of this invention is to provide a cleaner for the removal of water-soluble, lead-free soldering flux, the cleaner capable of cleaning off, in a short period of time, water-soluble flux residues generated during soldering with lead-free solder using water-soluble flux, the cleaner further having excellent dissolution property as well as excellent narrow gap cleaning property. The cleaner for removing water-soluble, lead-free soldering flux of the present invention comprises 5 to 100 parts by weight of a glycol ether compound (A) per 100 parts by weight of water.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: November 4, 2014
    Assignee: Arakawa Chemical Industries, Ltd.
    Inventors: Takashi Tanaka, Keita Tanaka, Yoshinobu Morino
  • Publication number: 20140318584
    Abstract: Compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the lanthanoid-containing solids and/or species comprise cerium. The composition is preferably substantially devoid of fluoride ions.
    Type: Application
    Filed: January 12, 2012
    Publication date: October 30, 2014
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Emanuel I. Cooper, Jeffrey A. Barnes
  • Publication number: 20140315367
    Abstract: A rinse liquid for an insulation layer, the rinse liquid including a solvent represented by the following Chemical Formula 1:
    Type: Application
    Filed: November 26, 2013
    Publication date: October 23, 2014
    Inventors: Jin-Hee BAE, Han-Song LEE, Wan-Hee LIM, Go-Un KIM, Taek-Soo KWAK, Bo-Sun KIM, Sang-Kyun KIM, Yoong-Hee NA, Eun-Su PARK, Jin-Woo SEO, Hyun-Ji SONG, Youn-Jin CHO, Kwen-Woo HAN, Byeong-Gyu HWANG
  • Patent number: 8859477
    Abstract: A composition comprising a phosphonitrile halide and an oligomeric organopolysiloxanes having from 10 to 50 Si units is effective to remove silicone residues from substrates with minimal swelling of thin polymer substrates.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: October 14, 2014
    Assignee: Wacker Chemie AG
    Inventor: Andreas Koellnberger
  • Publication number: 20140273407
    Abstract: Methods and compositions for the surface cleaning and passivation of CdTe substrates usable in solar cells are disclosed. In some embodiments amine-containing chelators are used and in other embodiments phosphorus-containing chelators are used.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: FIRST SOLAR, INC.
    Inventors: Scott Christensen, Scott Jewhurst, Minh Huu Le, Haifan Liang, Hao Lin, Wei Liu, Minh Anh Nguyen, Zhi Wen Sun, Gang Xiong
  • Publication number: 20140271917
    Abstract: Disclosed herein is a method comprising: obtaining characteristics of a cleaning or disinfection application; querying a database comprising experimental relationships, theoretical relationships or both, between the characteristics of the cleaning or disinfection application and a composition; determining one or more compositions suitable for the cleaning or disinfection application based on the characteristics and the database.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: F_OXYDE GMBH
    Inventors: Christian THONHAUSER, Alexandra KEDZIERSKI
  • Patent number: 8835326
    Abstract: A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present invention decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result.
    Type: Grant
    Filed: January 4, 2012
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Shyng-Tsong Chen, David L. Rath, Muthumanickam Sankarapandian, Oscar van der Straten
  • Patent number: 8828918
    Abstract: An object of the present invention is to provide a semiconductor surface treating agent composition, which can realize easy removing of an anti-reflection coating layer in a production process of a semiconductor device or the like at a low temperature in a short time, a method for treating a semiconductor surface using the same, and further a semiconductor surface treating agent composition, which can realize not only removing of both layer of an anti-reflection coating layer and a resist layer, but can realize even removing of a cured resist layer produced in an etching process, and a method for treating a semiconductor surface using the same. The semiconductor surface treating agent composition of the present invention is characterized by comprising a compound which generates a fluorine ion in water, a carbon radical generating agent, and water and optionally an organic solvent, and the method for treating a semiconductor surface of the present invention is characterized by using the composition.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: September 9, 2014
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Osamu Matsuda
  • Publication number: 20140249065
    Abstract: Semi-aqueous, alkaline microelectronic cleaning composition of pH?8 containing: (A) at least one secondary alkanolamine that generates hydroxides when in contact with water; (B) at least one organic alcohol ether solvent having an evaporation rate of 0.3 or less when n-butyl acetate's evaporation rate is taken as the baseline rate of 1.0; (C) at least one corrosion inhibiting cyclic amide compound; (D) at least one pH balancing azole metal corrosion inhibitor in an amount of 0.08% or less by weight of the composition; and (E) water; and optionally (F) at least one polyhydroxylated phenol compound corrosion inhibitor; and (G) at least one polyalcohol or polythiol surface modification agent containing vicinal hydroxyl or vicinal sulfhydryl groups to pair with the polyhydroxylated phenol compound corrosion inhibitor.
    Type: Application
    Filed: October 5, 2012
    Publication date: September 4, 2014
    Inventor: Chien-Pin Sherman Hsu
  • Publication number: 20140248775
    Abstract: The present invention provides a detergent for effectively cleaning, by a safe and simple method, a manganese component remaining on and adhered to a substrate surface, after polishing a silicon carbide single crystal substrate with a manganese compound-containing polishing agent. The present invention relates to a detergent for cleaning a silicon carbide single crystal substrate polished with a manganese compound-containing polishing agent, the detergent including at least one of ascorbic acid and erythorbic acid, in which the detergent has a pH of 6 or less.
    Type: Application
    Filed: May 8, 2014
    Publication date: September 4, 2014
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Iori YOSHIDA, Katsuaki MIYATANI
  • Patent number: 8822396
    Abstract: A residue-removing solution for removing residues present on semiconductor substrates after dry etching and/or ashing, the residue-removing solution comprising a Cu surface protective agent including: at least one compound selected from compounds (1), (2) and (3) each having as a basic skeleton a five-membered or six-membered heteratomic structure as defined herein; a compound capable of forming a complex or chelate with Cu (copper); and water. Further, the residue-removing solution has a pH of 4 to 9.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: September 2, 2014
    Assignee: Daikin Industries, Ltd.
    Inventors: Shingo Nakamura, Takehiko Kezuka
  • Publication number: 20140243250
    Abstract: Provided herein are polishing compositions for removal of Co, for example, selectively over Cu, and methods of their use. A polishing composition comprising an abrasive and one or more Co complexors, where the polishing composition has a pH of 9 or more, and the Co complexor comprises one or more of functional groups selected from phosphonic acid (—P(?O)(OH)2) group or carboxyl (—C(?O)OH) group.
    Type: Application
    Filed: February 27, 2014
    Publication date: August 28, 2014
    Inventors: Anne Miller, Jimmy Granstrom
  • Publication number: 20140243249
    Abstract: The present invention provides a metal stripping additive, composition containing the same, and method for stripping metal by using the composition. The metal stripping additive comprises a phosphate, a carbonate, and a component selected from at least one of citric acid or a derivative thereof, oxalate or a derivative thereof, malate or a derivative thereof. The metal stripping additive is used with nitric acid as the metal stripping composition of the present invention. The present method has advantages of being capable of stripping various metals, low corrosion, low toxicity, and being applicable under ambient temperature.
    Type: Application
    Filed: August 2, 2013
    Publication date: August 28, 2014
    Applicant: Uwin Nanotech. Co., Ltd.
    Inventor: CHING-HSIANG HSU
  • Patent number: 8809247
    Abstract: A cleaning composition which is capable of removing both organic soiling and particulate soiling adhered to a substrate for an electronic device with a high degree of cleanliness, and which also has minimal impact on the environment, as well as a method of cleaning a substrate for an electronic device. The present invention relates to a cleaning composition used for cleaning a substrate for an electronic device including a water-soluble salt (A) containing a transition metal, a chelating agent (B) and a peroxide (C), wherein the amount of the chelating agent (B) is not less than 0.5 molar equivalents relative to the amount of the water-soluble salt (A) containing a transition metal.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: August 19, 2014
    Assignee: LION Corporation
    Inventors: Makoto Hidaka, Taku Ogura
  • Patent number: 8802609
    Abstract: Semiconductor processing compositions comprising amidoxime compounds having two or more amidoxime functional groups and their use in semiconductor processing to remove photoresist, polymeric materials, etching residues and copper oxides from semiconductor substrates, particularly substrates comprising copper, low-k dielectric material, titanium nitride, and/or titanium oxynitride.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: August 12, 2014
    Inventors: Wai Mun Lee, Hua Cui, Mark A. Scialdone, Albert G. Anderson
  • Patent number: 8802610
    Abstract: A method of cleaning a substrate having a metal layer including copper or a copper-containing alloy, the method including cleaning the substrate using a cleaning liquid that includes a mercapto compound represented by one or both of the following formulas (1) and (2), and a solvent containing water and a water-soluble organic solvent: in which R represents a substituent group; m is an integer of 1 to 3; and n is an integer of 0 to 3, when m is 2 or 3, R may be the same or different; HS—(CH2)x—OH??(2), in which x is an integer of no less than 3.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: August 12, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoya Kumagai, Takuya Ohhashi, Takahiro Eto, Daijiro Mori, Takayuki Haraguchi
  • Patent number: 8802608
    Abstract: A composition for cleaning and corrosion inhibition which is used in a step of manufacturing a semiconductor device or a display device having a copper-containing metallic wiring is provided, wherein the corrosion inhibitor component is any one of pyrazole, a pyrazole derivative such as 3,5-dimethylpyrazole, a triazole derivative such as 1,2,4-triazole, an aminocarboxylic acid such as iminodiacetic acid or ethylenediaminedipropionic acid hydrochloride, or a disulfide compound such as diisopropyl disulfide or diethyl disulfide; and the cleaning agent component is any one of ammonium fluoride, tetramethylammonium fluoride, ammonium acetate, acetic acid, glyoxylic acid, oxalic acid, ascorbic acid, 1,2-diaminopropane or dimethylacetamide. Also, a method for manufacturing a semiconductor device or the like using the composition for cleaning and corrosion inhibition is provided.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: August 12, 2014
    Assignee: Mitsubishi Gas Chemical Comany, Inc.
    Inventors: Kenji Shimada, Hiroshi Matsunaga, Kojiro Abe, Kenji Yamada