Clock Or Synchronization Patents (Class 714/744)
  • Patent number: 7752513
    Abstract: A method and integrated circuit for LSSD testing. The integrated circuit includes a plurality of clock domains supplied with test clocks from separate clock generation circuits. In each clock domain, a scan latch at a clock domain boundary receiving an input from another clock domain includes a master latch for latching an input in response to a first clock, a slave latch for latching an output from the master latch in response to a second clock, a selector for supplying the master latch with a system input when the mode selection signal is at a second level, and a clock control circuit for turning off the first clock when the mode selection signal transits from the first level to the second level.
    Type: Grant
    Filed: February 7, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ken Namura, Sanae Seike, Toshihiko Yokota
  • Patent number: 7743301
    Abstract: A semiconductor integrated circuit includes an MISR (Multiple-Input Signature Register) for generating and storing compressed code based upon code from a ROM, and for reading out and outputting the compressed data that has been stored. The MISR has a clock change-over unit for changing over a clock in such a manner that the MISR is caused to operate at a high-speed clock when the compressed data is generated and stored, and at a low-speed clock when the stored compressed data is read out and output.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: June 22, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Yasunori Sawai
  • Patent number: 7743300
    Abstract: An integrated circuit includes a test signal generator that receives a clock signal including pulses having leading edges and trailing edges that occur at predetermined intervals and selectively generates a modified clock signal by adjusting timing of at least one of the leading and trailing edges of at least one of the pulses. A signal path includes a circuit and receives one of the clock signal and the modified clock signal from the test signal generator.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: June 22, 2010
    Assignee: Marvell Israel (M.I.S.L.) Ltd.
    Inventor: Eitan Rosen
  • Patent number: 7739572
    Abstract: A tester for testing a semiconductor device is disclosed. The tester for testing the semiconductor device employs a data selector for converting a logical test pattern data transmitted from a pattern generator into a physical test pattern data and an expected data based on the logical test pattern data, thereby generating various timings based on a time delay instead of using a plurality of clocks to improve a test efficiency and reduce a manufacturing cost.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: June 15, 2010
    Assignee: UniTest Inc.
    Inventor: Jong Koo Kang
  • Patent number: 7734976
    Abstract: A method and apparatus for synchronizing plural test devices coupled to a host. A counter of each of the devices is initialized, and each of the counters is incremented, such as by a periodic signal indicating a start of a data stream. An action, typically either a source signal or a measurement signal, is triggered when a respective counter reaches a programmed counter value.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: June 8, 2010
    Assignee: Electro Scientific Industries, Inc.
    Inventor: Spencer Barrett
  • Patent number: 7734967
    Abstract: A semiconductor memory device, having a test mode and a normal mode, includes a frequency multiplier and a test command sequence generator. The frequency multiplier receives a test clock signal in the test mode and generates multiple internal test clock signals, each of which has a frequency equal to a frequency of an operation clock signal in the normal mode. The test clock signal has a frequency lower than the frequency of the operation clock signal. The test command sequence generator generates at least one command signal in response to the internal test clock signals in the test mode. The at least one command signal corresponds to at least one operation timing parameter of the semiconductor memory device that is to be measured. The frequency multiplier may include a Phase Locked Loop (PLL) or a Delay Locked Loop (DLL).
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hoe-ju Chung, Yun-sang Lee
  • Patent number: 7724857
    Abstract: Disclosed is a system and method for improving the linearity of a clock and data recovery (CDR) circuit. In one embodiment, a data stream is received, and the phase of a clock signal is adjusted using two interpolators. The phase of the output signal of the second interpolator is adjusted simultaneously with, and complementary to, adjusting the phase of the first interpolator. The first interpolator's output signal is injected into a first delay cell in a delay loop having a plurality of delay cells, and the output of the second interpolator is inactivated. When the maximum phase of the first interpolator's output signal is reached, the second interpolator's output signal is injected into another one of the delay cells, and the first interpolator's output signal is inactivated. The data stream is then recovered using the output of the delay loop as a clock signal.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: May 25, 2010
    Assignee: Agere Systems Inc.
    Inventors: Christopher Abel, Joseph Anidjar, Vladimir Sindalovsky, Craig Ziemer
  • Patent number: 7721170
    Abstract: An apparatus for selectively implementing launch-off-scan capability in at-speed testing of integrated circuit devices includes a control device configured to selectively disable a master clock signal of a latch structure under test such that a pulse sequence of a system clock signal results in a slave-master-slave clock pulse sequence in the latch structure under test; wherein the control device utilizes the system clock signal as an input thereto and operates in a self-resetting fashion that is timing independent with respect to a scan chain.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, Vikram Iyengar, David E. Lackey, Mark R. Taylor
  • Patent number: 7698613
    Abstract: Disclosed is a circuit in which for conducting the scan path test, test clock terminals are provided in a number smaller than that of user clock domains, and a test clock control circuits on respective test clock lines to control whether the pulses of the test clock are propagated or blocked.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: April 13, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kazuya Kudo
  • Patent number: 7689897
    Abstract: An integrated circuit and a method for testing an integrated circuit.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: March 30, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Michael Priel, Eyal Salomon, Amir Zatlzman
  • Patent number: 7689876
    Abstract: A method and system for testing a semiconductor device is disclosed. The method provides an integrated test program defined by a plurality of test items, and a test program defined by a sub-set of the test items. Test data is derived by batch sample testing of the semiconductor device, and an error rate for a test item is computed and then compared to a reference data value. On the basis of the comparison between the error rate and the reference data value, the test program may be modified in real-time.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: March 30, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ae-yong Chung, Hwa-cheol Lee, Se-rae Cho, Kyeong-seon Shin
  • Publication number: 20100077271
    Abstract: A method that achieves convergence of a hold time error in a relatively easy way without causing a setup time error even when the hold time error occurs in a large circuit, a device and a computer-readable storage medium storing a program therefor are provided. Group a first error path and a second error path in error paths which a hold time error occurs if there is a sharing path that shares its start point with the first error path and also shares its end point with the second error path, and insert a delay element without causing a setup time error per the grouped error paths. Convergence of a hold time error can be achieved without taking into account of a node that is not included in the group and there is no worry about causing a setup time error in a path that is not included in the group.
    Type: Application
    Filed: September 21, 2009
    Publication date: March 25, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Kazuyuki Irie
  • Patent number: 7685489
    Abstract: A semiconductor integrated circuit includes: an input/output cell that is included in a path captured during propagation delay testing and that has an output-stage buffer on an output bus; and a terminal connected to the output bus and an input bus of the input/output cell. An external load or a testing device is connectable to the terminal. The input/output cell has a switching part that is capable of switching between a first path that loops back at an output side of the output-stage buffer and a second path that loops back at an input side of the output-stage buffer. The first path is selected during normal operation and the second path is selected during the propagation delay testing.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: March 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kazuhiro Takei, Koichi Otsuki
  • Patent number: 7680493
    Abstract: According to one embodiment, a low phase noise testing system includes a tester providing a high phase noise digital channel output. The low phase noise testing system further includes a crystal filter configured to receive the digital channel output and to pass a narrow frequency range from the digital channel output, whereby the high phase noise digital channel output is converted to a low phase noise clock for use by a device under test. The crystal filter can be, for example, a monolithic crystal filter or a discrete crystal filter.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: March 16, 2010
    Assignee: Broadcom Corporation
    Inventor: Timothy F. Scranton
  • Patent number: 7681099
    Abstract: An integrated circuit (1600) includes a debug module (1602) and a clock generator (1610). The debug module (1602) is configured to receive a test pattern and provide a mode signal based on the test pattern. The clock generator (1610) includes a first clock input configured to receive a first clock signal, a second clock input configured to receive a second clock signal, and a mode input configured to receive the mode signal. The first and second clock signals are out of phase and have the same clock frequency. The clock generator (1610) is configured to provide a generated clock signal whose effective frequency is based on the first and second clock signals and the mode signal.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: March 16, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Atchyuth Gorti, Tendy The, Daniel W. Bailey, Bill K. C. Kwan
  • Patent number: 7676712
    Abstract: According to the invention, an IP core is clocked during a debugging operation by switching from the clock used for testing the device under test to a clock oscillator or any other free-running clock source.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: March 9, 2010
    Assignee: Mentor Graphics Corporation
    Inventors: Greg Bensinger, Jean-Marc Brault, Hans Erich Multhaup
  • Patent number: 7672805
    Abstract: A method and apparatus for synchronizing digital and analog/mixed signal modules in a test site of an open architecture test system is disclosed. Event triggers from digital modules are routed to an ASYNC module, which selectively distributes them to analog/mixed signal modules. When an event occurs, the trigger may activate an analog/mixed signal module to perform a certain operation. The ASYNC module may also receive triggers from the analog/mixed signal modules and selectively distribute them back to the digital modules or analog/mixed signal modules. The digital modules can be programmed to wait for an analog/mixed signal module to complete an operation, as indicated by a trigger received from that analog/mixed signal module, before continuing. Because embodiments of the present invention enable synchronization of digital and analog/mixed signal modules under pattern control, synchronization can be very precise and repeatable as compared to synchronization from a site controller.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: March 2, 2010
    Assignee: Advantest Corporation
    Inventors: Eric Barr Kushnick, Kenji Inaba, Toshiyuki Miura
  • Patent number: 7669102
    Abstract: A memory coupled to a programmable logic device (PLD) is configured through the PLD's JTAG port. A soft core loaded into the PLD connects to the JTAG port and memory. An external programming host device connects to the JTAG port, sends instructions and data to and receives data from the memory via the JTAG port and soft core. A synchronization JTAG instruction is loaded, and a Shift Data state of the JTAG port state machine is used. The programming host device and soft core are synchronized, and a memory chip select is asserted. A memory instruction, such as READ, WRITE or ERASE is loaded into the memory. An RTI state of the state machine is used to wait for instruction completion and the chip select is deasserted. Another instruction is processed starting with using the Shift Data state. Alternatively, a PLD Shift Data Register is used in conjunction with the soft core.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: February 23, 2010
    Assignee: XILINX, Inc.
    Inventors: Wayne E. Wennekamp, Randal Kuramoto, James A. Walstrum, Jr., Sanja Srivastava, Neil G. Jacobson
  • Patent number: 7665004
    Abstract: A timing generator that needs no analog circuit for adding jitters and allows the circuit scale and power consumption to be reduced.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: February 16, 2010
    Assignee: Advantest Corporation
    Inventors: Masakatsu Suda, Masahiro Ishida, Daisuke Watanabe
  • Publication number: 20100037111
    Abstract: An apparatus or method for testing of a SOC processor device may minimize interference that is caused by interfacing a comparatively low-speed testing device with the high-speed processor during testing. Implementations may gate the input clock signal at the clock input to each domain of the SOC processor device rather than at the output of the PLL clock. The gating of the clock signal to each domain may then be controlled by clock stop signals generated by the testing device and sent to the individual domains of the processor device. Gating the clock signal at the domain may provide a more natural state for the circuit during testing as well as allow the test control unit to test the different domains of the SOC device individually.
    Type: Application
    Filed: August 6, 2008
    Publication date: February 11, 2010
    Applicant: Sun Microsystems, Inc.
    Inventors: Thomas A. Ziaja, Kevin D. Woodling, Robert F. Molyneaux
  • Patent number: 7661039
    Abstract: A self-synchronizing data bus analyzer is provided which can include a generator linear feedback shift register (LFSR) to generate a first data set, and can include a receiver LFSR to generate a second data set. The data bus analyzer may also include a bit sampler to sample the first data set received through a data bus coupled to the generator LFSR and output a sampled first data set. A comparator can be included to compare the sampled first data set with the second data set generated by the receiver LFSR and provide a signal to the receiver LFSR to adjust a phase of the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Patent number: 7660237
    Abstract: A switching unit (1011) receives video data (103) sent from a device (102), and sends an ATM cell synchronized with the clock to switching units (1012-1014) by a signal (including optical data) containing a frequency component serving as a synchronous clock supply source. If a fault occurs midway along a line, the switching unit (1012) which detects the fault sends an AIS cell to an OAM connection (109). The termination switching unit (1014) switches a port f for receiving a synchronous clock to a port g, and sends back an RDI cell. The switching units (1013, 1012) which have received the RDI cell switch the synchronous clock to ports e and c and receive it, thus obtaining an identical clock.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: February 9, 2010
    Assignee: NEC Infrontia Corporation
    Inventors: Shinichi Ukon, Kenichi Kobayashi
  • Patent number: 7657813
    Abstract: Expect data signals are generated for a series of applied data signals having a known sequence to determine if groups of the data signals were properly captured. A first group of the applied data signals is captured, and a group of expect data signals generated from the captured first group. A second group of applied data signals is then captured and determined to have been properly captured when the second group corresponds to the group of expect data signals. In this way, when a captured series of data signals is shifted in time from an expected capture point, subsequent captured data signals are compared to their correct expected data signals in order to determine whether that group, although shifted in time, was nonetheless correctly captured. A pattern generator generates expect data signals in this manner, and may be utilized in a variety of integrated circuits, such as an SLDRAM.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: February 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Troy A. Manning
  • Patent number: 7653852
    Abstract: A semiconductor device according to an embodiment of the present invention includes: a plurality of clock domains including a plurality of logic circuits operating in accordance with a clock signal; and a control circuit selectively supplying the clock signal to a predetermined number of clock domains selected from the plurality of clock domains based on a control signal.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: January 26, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Masakazu Maehara
  • Patent number: 7653844
    Abstract: In a communication system based on OSI (Open Systems Interconnection) Reference Model, a pattern body generation circuit of a transmitting device generates and outputs a jitter test pattern body for jitter test. A selector selects an output (frame data) of a transmitting-end upper circuit during normal communication and selects an output (pattern body) of the pattern body generation circuit during jitter test. A transmitting-end MAC circuit performs transmitting-end processing of a MAC layer on the data selected by the selector to thereby obtain a MAC frame. A receiving-end MAC circuit performs receiving-end processing of a MAC layer on a received frame in MAC frame format to thereby obtain a payload. A pattern body verification circuit verifies a pattern body that is a payload obtained by the receiving-end MAC circuit during jitter test against a corresponding pattern body before transmission.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: January 26, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kenichi Sasaki
  • Patent number: 7653505
    Abstract: A method and apparatus is provided to utilize the configurability of a programmable logic device (PLD), so as to reduce the complexity of special test equipment (STE) fixtures that are required to test the PLD. The output drivers of certain I/O buffers of the PLD that are not under test may be configured to exhibit a particular impedance magnitude. The impedance magnitude of the output drivers that are not under test may then be used to supply the reference impedance that is required by the digitally controlled impedance (DCI) controllers of the I/O buffers that are under test. The DCI controllers may then correctly configure the impedance magnitude of the respective I/O buffers under test, so as to test the functionality of the controlled impedance buffers for I/O standards that require controlled impedance.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: January 26, 2010
    Assignee: Xilinx, Inc.
    Inventors: Tuyet Ngoc Simmons, Madan Patra, Prasad Rau
  • Publication number: 20100011267
    Abstract: A multi-strobe circuit that latches a signal to be tested, an evaluation target, at each edge timing of a multi-strobe signal having a plurality of edges. An oscillator oscillates at a predetermined frequency in synchronization with a reference strobe signal. A latch circuit latches the signal to be tested at an edge timing of an output signal of the oscillator. A gate circuit is provided between a clock terminal of the latch circuit and the oscillator, and makes the output signal of the oscillator pass therethrough for a predetermined period. A clock transfer circuit loads the output signal of the latch circuit at an edge timing of the output signal of the oscillator and performs retiming on the output signal of the latch circuit by using a reference clock.
    Type: Application
    Filed: July 7, 2009
    Publication date: January 14, 2010
    Applicant: Advantest Corporation, a Japanese Corporation
    Inventor: Noriaki Chiba
  • Patent number: 7644324
    Abstract: There is implemented a semiconductor memory tester capable of efficiently conducting a test on a fast memory by programming according to parameters of a device without being attended by complex program handling. The semiconductor memory tester for determining pass/fail on a memory device under test is characterized in comprising a measurement division for comparing an output from the memory device under test with an expected value at timing on the basis of a clock outputted by the memory device under test.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: January 5, 2010
    Assignee: Yokogawa Electric Corporation
    Inventor: Hisaki Arasawa
  • Patent number: 7640463
    Abstract: In a high-speed serial link, an eye finder diagnostic circuit has improved performance by being on-chip with the existing capture latch(es) of a receive equalizer. The eye finder circuit employs an additional capture latch with its input tied to the same input node as the existing capture latch(es) of a receive equalizer. The additional capture latch has a clock input and reference voltage input. The clock input is adjusted through a phase interpolator (or variable delay line) while the reference voltage input is adjusted by a voltage generator. A digital post processing circuit then compares the output of the additional capture latch with the output of the other existing capture latch(es), in order to determine the receive eye opening. The horizontal eye opening is measured by changing the phase of the additional capture latch through the phase interpolator, while the vertical eye opening is measured by changing the reference voltage of the voltage generator of the additional capture latch.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: December 29, 2009
    Assignee: LSI Corporation
    Inventors: Peter Windler, Richard Lim
  • Patent number: 7640475
    Abstract: A method and/or a system of at-speed transition fault testing with low speed scan enable is disclosed. In one embodiment, a digital system includes any number of scan chains. Each scan chain may have any number of scan cells, an at-speed local scan enable signal to control a mode of operation, and any number of last transition generator cells. In addition, each last transition generator cell includes a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply any one of a first flip-flop output data and an OR gate having a first flip-flop input based on a state of the at-speed local scan enable signal, and an OR gate having a first flip-flop output and the global scan enable signal as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: December 29, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Chennagiri P. Ravikumar, Nisar Ahmed
  • Patent number: 7640471
    Abstract: In a method and system for testing, a tester (110) is operable to communicate test signals (124, 126) at a tester clock speed, and a device (190) to be tested is operable to communicate the test signals (124, 126) at a device clock speed, the device clock speed being greater than the tester clock speed. A test module (120) is interposed between the tester (110) and the device (190) to enable data transfer between the tester (110) and the device (190) at their respective clock speeds. The test module (120) includes a memory module (250) capable of storing N samples of the test signals (124, 126) at a selectable one of the tester clock speed and the device clock speed. The memory module (250) is operable to provide the N samples at a selectable one of the tester clock speed and the device clock speed.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: December 29, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Yu Miao, Elizabeth Vigrass, Shawn C. Smith
  • Patent number: 7631234
    Abstract: The present test apparatus avoids proximity restriction violation of an edge and surely generates a test signal. There is provided a test apparatus that tests a device under test. The test apparatus includes a test pattern generating section that generates a test pattern to test the device under test every test period, a plurality of edge generators that respectively generate an edge of a test signal to be supplied to the device under test based on the test pattern every cycle period of a reference clock that is used as a reference for an operation of this test apparatus, a selecting section that selects which edge generator generates each edge of a test signal to be output during the next cycle period based on a pattern of the edge generated during the current cycle period, and a test signal supplying section that supplies the test signal according to each edge generated from the selected edge generator to the device under test.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: December 8, 2009
    Assignee: Advantest Corporation
    Inventor: Tatsuya Yamada
  • Patent number: 7631236
    Abstract: Disclosed are embodiments of a built-in self-test (BIST) architecture that incorporates a standalone controller that operates at a lower frequency to remotely perform test functions common to a plurality of embedded memory arrays. The architecture also incorporates command multipliers that are associated with the embedded memory arrays and that selectively operate in one of two different modes: a normal mode or a bypass mode. In the normal mode, instructions from the controller are multiplied so that memory array-specific test functions can be performed locally at the higher operating frequency of each specific memory array. Whereas, in the bypass mode, multiplication of the instructions is suspended so that memory array-specific test functions can be performed locally at the lower operating frequency of the controller. The ability to vary the frequency at which test functions are performed locally, allows for more test pattern flexibility.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: December 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kevin W. Gorman, Adrian J. Paparelli, Michael A. Roberge
  • Patent number: 7630383
    Abstract: Networks and methods are disclosed for synchronizing time stamps of peer devices. In one embodiment, a first communication node comprised of peer devices is connected to a second communication node. The first and second communication nodes communicate according to a protocol that requires monotonically increasing time stamps. When in operation, a peer device transmits a message to the second communication node with a time stamp. If the peer device receives an error response from the second communication node indicating that the time stamp does not comprise an increasing time stamp, then the peer device increases the time stamp. The peer device then transmits the message to the second communication node with the increased time stamp. This process continues each time the peer device receives an error response from the second communication node until the time stamp is increased sufficiently to comprise a monotonically increasing time stamp.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: December 8, 2009
    Assignee: Alcatel-Lucent USA Inc.
    Inventors: Paul A. Noel, Jerry Stamatopoulos, David A. Welch
  • Patent number: 7627066
    Abstract: An apparatus that reduces sampling errors for data communicated between devices uses phase information acquired from a timing reference signal such as a strobe signal to align a data-sampling signal for sampling a data signal that was sent along with the timing reference signal. The data-sampling signal may be provided by adjustably delaying a clock signal according to the phase information acquired from the strobe signal. The data-sampling signal may also have an improved waveform compared to the timing reference signal, including a fifty percent duty cycle and sharp transitions. The phase information acquired from the timing reference signal may also be used for other purposes, such as aligning received data with a local clock domain, or transmitting data so that it arrives at a remote device in synchronism with a reference clock signal at the remote device.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: December 1, 2009
    Assignee: Rambus Inc.
    Inventors: Scott C. Best, Richard E. Warmke, David B. Roberts, Frank Lambrecht
  • Patent number: 7624319
    Abstract: A system for validating data collected in a first clock domain. A performance counter is disposed in a second clock domain to perform performance computations relative to the data. Validation circuitry is in communication with the data in order to provide to the performance counter a validation signal indicative of the validity of the data.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: November 24, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Richard W. Adkisson, Tyler Johnson
  • Patent number: 7617431
    Abstract: The apparatus for analyzing a delay defect of the present invention obtains the RC of the maximal incidence among region codes (RCs) to which check circuits detecting errors caused with gradual increase in the frequency of an operational clock pulse fed to an integrated circuit belongs. The apparatus obtains information on latch in which an error is caused with the RC of the maximal incidence, with reference to a mapping table that describes the mapping relationship between an RC and a latch. The apparatus extracts a circuit portion in which an error can be captured with the region code of the maximal incidence by exhaustively tracing back circuit portions connected with each obtained latch, from the latch to the latch described in the mapping table. The apparatus gives delay defects to the input and the output pin of each of logic elements included in the extracted circuit portion, generates test patterns for detecting the given delay defects, and performs delay tests.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: November 10, 2009
    Assignee: Fujitsu Limited
    Inventor: Noriyuki Ito
  • Patent number: 7610539
    Abstract: Disclosed is a logic testing system that includes a decompressor and a tester in communication with the decompressor. The tester is configured to store a seed and locations of scan inputs and is further configured to transmit the seed and the locations of scan inputs to the decompressor. The decompressor is configured to generate a test pattern from the seed and the locations of scan inputs. The decompressor includes a first test pattern generator, a second test pattern generator, and a selector configured to select the test pattern generated by the first test pattern generator or the test pattern generated by the second test pattern generator using the locations of scan inputs.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: October 27, 2009
    Assignee: NEC Laboratories America, Inc.
    Inventors: Kedarnath Balakrishnan, Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar
  • Patent number: 7607061
    Abstract: In one embodiment, an integrated circuit comprises first circuitry; a first clock generator coupled to supply a first clock to the first circuitry, and a control unit coupled to the first clock generator. The first clock generator is coupled to receive an input clock to the integrated circuit and is configured to generate the first clock. The control unit is also coupled to receive a trigger input to the integrated circuit. During a test of the integrated circuit, the control unit is configured to cause the first clock generator to generate the first clock at a first clock frequency, The control unit is configured to cause the first clock generator to generate the first clock at a second frequency greater than the first clock frequency for at least one clock cycle responsive to an assertion of the trigger input.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: October 20, 2009
    Assignee: Global Founderies Inc.
    Inventors: Michael A. Comai, Philip E. Madrid
  • Patent number: 7603600
    Abstract: A timing failure remedying apparatus for an integrated circuit has a comparator which compares a value captured in a taking-out scan chain for reference through an operation of a processing core for reference according to a first clock signal with a value captured in a taking-out scan chain to be tested through an operation of a processing core to be tested according to a second clock signal, a diagnosing unit which determines a timing failure in the logic circuit to be tested on the basis of a result of comparison by the comparator, and an adjuster which adjusts at least either a second cycle or a delay amount of the second clock signal. It is possible to examine a position of the timing failure or the number of the timing failures in the integrated circuit diagnosed as that its logic is normal but the timing failure occurs therein.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: October 13, 2009
    Assignee: Fujitsu Limited
    Inventor: Yoshihiko Satsukawa
  • Patent number: 7587650
    Abstract: A detector to detect the magnitude of the jitter that may occur in a first clock signal and a second clock signal and to generate an alarm signal if the magnitude of the jitter exceeds the threshold value. The detector comprises a one-hot register storing a one-hot value comprising a first logic bit (=1) centered around one or more second logic bits (=0). The detector comprises a threshold register storing a threshold value comprising one or more second logic bits centered around one or more first logic bits. An event of a first clock rotates the contents of the one-hot value and an event of a second clock rotates the contents of the threshold value. A match between the pre-specified bit of the one-hot value and the threshold value indicates the occurrence of the jitter having a magnitude greater than the threshold value.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: September 8, 2009
    Assignee: Intel Corporation
    Inventors: Matthew W. Heath, Mark Waggoner, Robert Greiner, Brett W. Newkirk
  • Patent number: 7584393
    Abstract: Replaced cell CELL1 is composed of clock buffer circuit CB1 and flip-flop circuit FF1 that latches data at a falling-down time of a clock signal. Clock buffer circuits CB1a-CB1d are cascade-connected to form a clock tree circuit. A scan circuit is composed of scan flip-flop circuits SFF1-SFF4. Replaced cell CELL1 is set in place of the last stage neighboring a scan circuit side between the scan circuit and the clock buffer circuits CB1a-CB1d to easily optimize timing adjustments and layout design.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: September 1, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuo Kamada, Toru Sasaki, Hiroshi Shimizu
  • Patent number: 7574635
    Abstract: Circuit and methods for testing a memory device are disclosed. According to one aspect of the invention, a circuit for testing an asynchronous data transfer comprises a first circuit receiving a stream of data in response to a clock signal in a first clock domain. A second circuit coupled to the first circuit receives the stream of data from the first circuit in response to a low level of an empty signal in the second clock domain. A comparator circuit coupled to receives the stream of data and the output of the second circuit. Specific applications to dual port RAMs as well as implementations in a programmable logic devices are disclosed. Various methods of testing an asynchronous data transfer are also disclosed.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: August 11, 2009
    Assignee: XILINX, Inc.
    Inventor: Peter H. Alfke
  • Patent number: 7574633
    Abstract: There is provided a test apparatus that tests a device under test including a plurality of data terminals and a clock output terminal, the test apparatus including a plurality of first variable delay circuits that delays a reference clock, a plurality of timing clock generating sections that outputs a timing clock having a phase obtained by shifting a phase of the delayed reference clock by a designated phase shift amount, a timing comparator that acquires a data signal in accordance with the timing clock, a plurality of second variable delay circuits that delays the timing clock, a plurality of phase comparators that outputs a phase shift amount according to a phase difference between a clock signal and the timing clock, a first adjusting section that adjusts a delay amount of the first variable delay circuit so that the timing comparator acquires a data signal based on the timing clock, and a second adjusting section that adjusts a delay amount of the second variable delay circuit so that the timing compara
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: August 11, 2009
    Assignee: Advantest Corporation
    Inventors: Naoki Sato, Noriaki Chiba, Tomohiro Uematsu
  • Patent number: 7571363
    Abstract: A phase comparator is used to test a device under test comprising an input/output (I/O) circuit by applying a signal to the device under test; extracting a phase signal from the phase comparator; and determining parametric information pertaining to the I/O circuit of the device under test from the phase signal.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: August 4, 2009
    Assignee: Agilent Technologies, Inc.
    Inventors: Hugh S. Wallace, Adrian Wan-Chew Seet, Klaus-Dieter Hilliges
  • Patent number: 7568138
    Abstract: A computer implemented method and data processing system are provided for preventing firmware defects from disrupting logic clocks. In response to a firmware interface requesting a scan operation for a functional unit, protection logic allows a scan enable to activate to the functional unit only if the logic clocks are stopped to that functional unit, otherwise the scan enable is not activated, an error is indicated, and an interrupt is presented to firmware. Also, in response to a command from a firmware interface to stop the logic clocks to a functional unit, protection logic allows the clocks to be stopped to the functional unit only if the functional unit is already indicating a catastrophic error, otherwise the clocks are not stopped, an error is indicated, and an interrupt is presented to firmware.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Adolf Martens, Walter Niklaus, Dietmar Schmunkamp, Scott Barnett Swaney, Ching-Lung L. Tong, Tobias Webel
  • Publication number: 20090183046
    Abstract: Disclosed are, inter alia, methods, apparatus, mechanisms, and means for characterizing a clock signal within an application-specific integrated circuit (ASIC), and then, also on the ASIC, generating a testing clock signal based on the characterization of the operative clock signal for testing purposes. An ASIC includes a clock signal characterization circuit configured to characterize a clock signal within the ASIC; and a programmable testing clock signal generator configured for being programmed based on said characterization of the clock signal, and for generating a test clock signal based on its said programming.
    Type: Application
    Filed: January 13, 2008
    Publication date: July 16, 2009
    Applicant: Cisco Technology, Inc., a corporation of California
    Inventors: Hong-Shin JUN, Zhiyuan WANG, Xinli GU
  • Patent number: 7558998
    Abstract: A semiconductor apparatus generates a clock signal used for scan test on an internal circuit of the semiconductor apparatus. The semiconductor apparatus includes a scan chain for performing input and output of data in the internal circuit, a clock generator for generating a launch clock signal for sending data to the internal circuit and a capture clock signal for capturing data from the internal circuit. The launch clock signal and the capture clock signal are generated based on a plurality of clock signals having different phases, and a pulse width of the plurality of clock signals is smaller than half of a cycle of the plurality of clock signals.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: July 7, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Naotake Watanabe
  • Patent number: 7549092
    Abstract: There is provided an output controller with a test unit, which can test an appropriate delay amount according to an operating frequency under a real situation. The output controller includes an initial synchronizing unit for outputting a first output enable signal when a read CAS signal is activated; a plurality of synchronizing units connected in series to output an output signal of a previous stage as an output enable signal in synchronization with a corresponding driving clock, a first stage of the synchronizing units receiving the first output enable signal; and a test unit for adjusting a delay amount of an input clock according to a test signal and outputting the driving clock.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: June 16, 2009
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Ji-Eun Jang
  • Patent number: 7549101
    Abstract: There is provided a clock transferring apparatus for synchronizing a pattern signal synchronized with a reference clock with a variable clock based on an oscillation source different from that of the reference clock, having a rate clock generating section for generating a rate clock whose number of pulses within a predetermined period is almost equal with a number of pulses of the variable clock within the predetermined period by thinning out the pulses within the reference clock, a pattern generating section for generating the pattern signal corresponding to the pulses of the rate clock and a FIFO memory that stores data of the pattern signal corresponding to the pulses of the rate clock and outputs the stored data corresponding to the pulses of the variable clock.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: June 16, 2009
    Assignee: Advantest Corporation
    Inventor: Noriaki Chiba