Test Or Error Correction Or Detection Circuits (epo) Patents (Class 714/E11.162)
  • Patent number: 11852685
    Abstract: A logic gate system for fault insertion testing can include a logic gate module having a plurality of input pins. The plurality of input pins can include an input signal pin configured to receive an input signal, a power supply input pin configured to receive power from a power supply, and a test input pin. The logic gate module can also include an output pin connected to the input pins via one or more logic gates. The logic gate system can include a power supply line connected to the power supply input pin and the test input pin. The logic gate system can also include a zero-ohm jumper resistor disposed between the power supply input pin and the test input pin. The zero-ohm resistor can be configured to be replaced with a low ohm resistor to allow reverse driving a voltage on the test input pin. The one or more logic gates can be configured to reverse an output at the output pin when the voltage on the test input pin is reverse driven.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: December 26, 2023
    Assignee: Hamilton Sundstrand Corporation
    Inventors: Christopher Blazer, Brian Ross
  • Publication number: 20130173978
    Abstract: A boundary scan node of a boundary scan chain for testing an associated node of core logic core logic includes a first boundary scan cell having an input that is coupled to a first data output of the core logic. A second boundary scan cell having an output is coupled to a first data input of the core logic. A programmable series connection is arranged to selectively couple an output of the first boundary scan cell to an input of the second boundary scan cell when the boundary scan node is arranged in an internal test mode for the core logic. Test stimulus can be written to the boundary scan node using a data register clock and test results can be read from the boundary scan node using the data register clock.
    Type: Application
    Filed: January 1, 2012
    Publication date: July 4, 2013
    Inventors: Hiroyuki Sasaya, Supatra Basu
  • Publication number: 20130067290
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises transition control circuitry configured to detect transitions between binary logic levels in a scan test signal, and responsive to a number of detected transitions reaching a threshold, to limit further transitions associated with a remaining portion of the scan test signal. In an illustrative embodiment, the transition control circuitry limits further transitions associated with the remaining portion of the scan test signal by replacing at least part of the remaining portion of the scan test signal with a limited transition signal. The limited transition signal may be maintained at a constant binary logic level such that it has no transitions. By limiting the number of transitions associated with the scan test signal, the transition control circuitry serves to reduce integrated circuit power consumption during scan testing.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 14, 2013
    Inventors: Ramesh C. Tekumalla, Prakash Krishnamoorthy
  • Publication number: 20130019131
    Abstract: This disclosure is related to measurement of latency in data paths. A latency measurement may be accomplished by calculating a roundtrip write-to-read latency based on generating a write signal and receiving a read signal approximately simultaneously. The read signal may be based on a coupling between a write element and read element. A device setting may then be adjusted based on the calculated roundtrip write-to-read latency. Further, a read/write mechanism that is used to write user data to and read user data from a data storage medium may be used to determine the roundtrip write-to-read latency. Even further, the roundtrip write-to-read latency may be determined in real-time as the data storage device is in operation.
    Type: Application
    Filed: July 15, 2011
    Publication date: January 17, 2013
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: David Erich Tetzlaff, Mathew Power Vea
  • Publication number: 20120297259
    Abstract: The soft error rate (SER) detector circuit presented here can be used to measure SER in combinatorial logic devices caused by radiation. The SER detector circuit includes a plurality of detector arrays coupled in series, and each having a plurality of SER test structures coupled in series. Each of the SER test structures includes a plurality of detector elements coupled in series. Each of the SER test structures is configured to detect single event transients (SETs) in a first operating mode and single event upsets (SEUs) in a second operating mode. The SER detector circuit also has control logic elements to control operation of the plurality of detector arrays.
    Type: Application
    Filed: May 16, 2011
    Publication date: November 22, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Christian HAUFE, Jens PIKA, Jörg WINKLER
  • Publication number: 20120284576
    Abstract: Techniques and structures are disclosed in which memory training for DDR or other memory can be performed more rapidly. A memory controller is configured so that one or more memory parameters (e.g., timing delay) can be determined for one or more hardware elements such as delay locked loops (DLLs). Training may be performed without intermediation by (or reporting of results to) a system BIOS. Thus, training may be performed fully in hardware. Voltage training techniques are also disclosed.
    Type: Application
    Filed: May 6, 2011
    Publication date: November 8, 2012
    Inventors: Oswin E. Housty, Harold H. Bautista, Shawn Searles
  • Publication number: 20120226952
    Abstract: a computer-implemented method, an apparatus and a computer program for automatically extracting useful information for functional verification. The method comprising performing repeatedly both operating an instruction generator associated with a Design Under Test (DUT), whereby a generated instruction is determined, the generated instruction having one or more instruction attributes; and collecting information relating to the generated instruction. Based on the generated instruction and the collected information, a classification technique is utilized to classify the information based on the instruction attributes.
    Type: Application
    Filed: March 1, 2011
    Publication date: September 6, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yoav Avraham Katz, Michal Rimon, Elad Yom-Tov, Avi Ziv
  • Publication number: 20120204069
    Abstract: An integrated circuit comprises a plurality of memory units and at least one memory test module, each memory test module having at least one associated memory unit from the plurality of memory units. Each memory test module comprises a set of test registers for each associated memory unit, and a test engine configured, for each associated memory unit, to perform a test operation on that associated memory unit dependent on the status of the set of registers provided for that associated memory unit. A transaction interface of the memory test module receives a transaction specifying a register access operation, the transaction providing a first address portion having encodings allowing individual memory units to be identified and groups of memory units to be identified, and a second address portion identifying one of the test registers within the set to be an accessed register for the register access operation.
    Type: Application
    Filed: February 3, 2011
    Publication date: August 9, 2012
    Applicant: ARM LIMITED
    Inventor: Paul Stanley Hughes
  • Publication number: 20120191388
    Abstract: Method to verify proper operation of battery monitor shift register(s). The method may be implemented on an individual battery monitor or within a system of battery monitors. Battery monitor shift register(s) may be configured to store predetermined test patterns upon start up or reset. The contents of the battery monitor shift registers may be shifted out serially to a processor or controller, which may compare the read out data to a local copy of the predetermined test pattern. If the patterns do not match, the processor or controller may indicate an error condition.
    Type: Application
    Filed: July 14, 2011
    Publication date: July 26, 2012
    Applicant: ANALOG DEVICES, INC.
    Inventor: Robert Parle
  • Publication number: 20120192021
    Abstract: A method of testing a semiconductor device that includes first and second mutually asynchronous modules, a buffer for storing transaction data for read/write operations from the first module and transferring it to the second module synchronously with the data rate of the second module, and an inhibit input. The second module receives the transaction data from the buffer and transfers the data to a data output when the inhibit signal is de-asserted and not when the inhibit signal is asserted. The method of testing includes repeatedly: asserting the inhibit signal; providing test transaction data to the first module and storing the data in the buffer while the inhibit signal is asserted; de-asserting the inhibit signal so that the second module transfers test transaction data received from the buffer to the data output synchronously with the data rate of the second module; and capturing deterministically test transaction data from the output of the second module.
    Type: Application
    Filed: January 21, 2011
    Publication date: July 26, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventor: Deepak Jindal
  • Publication number: 20120185740
    Abstract: A data writing method for a re-writable non-volatile memory module and a memory controller and a memory storage apparatus using the same are provided, wherein the re-writable non-volatile memory module has a plurality of physical writing units, and each of the physical writing units has a plurality of physical writing segments. The data writing method includes identifying at least one non-used segment among the physical writing segments of each of the physical writing units and writing a plurality of segment data streams into the physical writing units, wherein the non-used segments of the physical writing units are not used for writing the segment data. Accordingly, the data writing method can effectively use normal physical writing segments in the physical writing units.
    Type: Application
    Filed: April 21, 2011
    Publication date: July 19, 2012
    Applicant: PHISON ELECTRONICS CORP.
    Inventors: Nien-Hao Hsu, Tsai-Fu Yen, Chee-Shyong Aw-Yong
  • Publication number: 20120166897
    Abstract: A Flash memory system and a method for data management using the system's sensitivity to charge-disturbing operations and the history of charge-disturbing operations executed by the system are described. In an embodiment of the invention, the sensitivity to charge-disturbing operations is embodied in a disturb-strength matrix in which selected operations have an associated numerical value that is an estimate of the relative strength of that operation to cause disturbances in charge that result in data errors. The disturb-strength matrix can also include the direction of the error which indicates either a gain or loss of charge. The disturb-strength matrix can be determined by the device conducting a self-test in which charge-disturb errors are provoked by executing a selected operation until a detectable error occurs. In alternative embodiments the disturb-strength matrix is determined by testing selected units from a homogeneous population.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 28, 2012
    Inventors: Luiz M. Franca-Neto, Richard Leo Galbraith, Travis Roger Oenning
  • Publication number: 20120131402
    Abstract: Provided is a test mode setting circuit with a smaller number of terminals. A detector having a low threshold voltage and a detector having a high threshold voltage are provided to a test terminal for controlling a test mode of a semiconductor device, and the detector having the low threshold voltage releases a reset of a logic circuit while the detector having the high threshold voltage controls switching of the test mode. This configuration uses the test terminal, a reset terminal, and test mode control terminals in common between a normal state and a test state, thus reducing a large number of the terminals.
    Type: Application
    Filed: November 4, 2011
    Publication date: May 24, 2012
    Inventors: Masakazu SUGIURA, Atsushi IGARASHI
  • Publication number: 20120110399
    Abstract: Various embodiments include methods, apparatus, and systems to scan at least a portion of a memory device for potential errors when a condition for scanning is met. The condition may be dependent on one or more of a number of read operations, a number of write operations, time, and others. Other embodiments including additional methods, apparatus, and systems are disclosed.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 3, 2012
    Inventors: William Henry Radke, Peter Sean Feeley, Siamack Nemazie
  • Publication number: 20120102377
    Abstract: A method for constructing a histogram can include sampling attributes in a column of a database on a server and determining a bucket set for the histogram based on a number of buckets that represents a distribution of the attributes with minimum error. A bucket in the bucket set includes boundaries and an approximation of a count of attributes falling within the boundaries. The method further includes determining a precision for encoding the approximation, such that the histogram having the bucket set fits within a storage limit on a tangible computer-readable medium. The histogram can then be stored for the database on a tangible computer-readable medium by encoding the approximation with the precision.
    Type: Application
    Filed: October 26, 2010
    Publication date: April 26, 2012
    Inventors: Krishnamurthy Viswanathan, Ram Swaminathan
  • Publication number: 20120072795
    Abstract: According to one embodiment, a semiconductor memory device includes a plurality of semiconductor memory chips configured to store therein information depending on an amount of accumulated charge; a plurality of parameter storage units that are provided in correspondence with the semiconductor memory chips, each of the plurality of parameter storage units being configured to store therein a parameter that defines an electrical characteristic of a signal used for writing information into or reading information from a corresponding one of the semiconductor memory chips; an error correction encoding unit configured to generate a first correction code capable of correcting an error in the information stored in a number of semiconductor memory chips no greater than a predetermined number out of the semiconductor memory chips, from the information stored in the semiconductor memory chips; and a parameter processing unit configured to change the parameters respectively corresponding to the number of semiconductor mem
    Type: Application
    Filed: March 2, 2011
    Publication date: March 22, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kazumasa YAMAMOTO, Shinichi KANNO, Shigehiro ASANO, Hiroyuki NAGASHIMA
  • Publication number: 20110320897
    Abstract: A scan test architecture facilitates low power testing of semiconductor circuits by selectively dividing the serial scan paths into shorter sections. Multiplexers between the sections control connecting the sections into longer or shorted paths. Select and enable signals control the operation of the scan path sections. The output of each scan path passes through a multiplexer to compare circuits on the semiconductor substrate. The compare circuits also receive expected data and mask data. The compare circuits provide a fail flag output from the semiconductor substrate.
    Type: Application
    Filed: September 12, 2011
    Publication date: December 29, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110239067
    Abstract: A system on a chip comprises a plurality of circuit blocks (18), a programmable processor (12) and a communication circuit (16) coupled between the processor (12) and the plurality of circuit blocks (18), the communication circuit (16) being configured to support program controlled access to registers in the circuit blocks (18) from the processor (12), a first and second one of the circuit blocks (18) of the plurality having direct mutual connection (19) for directly passing a signal between the first and second one of the circuit blocks (18) without communication through the communication circuit (12). Design information is used that comprises connection data including an identification of the direct mutual connection (19) and the first and second circuit blocks (18) coupled by the direct mutual connection (19). An additional register is added to the system on a chip coupled to the direct mutual connection (19) to capture and/or control signals at the direct mutual connection (19).
    Type: Application
    Filed: August 8, 2008
    Publication date: September 29, 2011
    Inventors: Jan Stuyt, Bernard W. De Ruyter, Roelof P. De Jong, Pieter Struik, Joris H.J. Geurts
  • Publication number: 20110209002
    Abstract: A programmable characterization-debug-test engine (PCDTE) on an integrated circuit chip. The PCDTE includes an instruction memory that receives and stores instructions provided on a chip interface, and a configuration memory that receives and stores configuration values provided on the chip interface. The PCDTE also includes a controller that configures a plurality of address counters and data registers in response to the configuration values. The controller also executes the instructions, wherein read/write addresses and write data are retrieved from the counters in response to the instructions. The retrieved read/write addresses and write data are used to access a memory under test. Multiple ports of the memory under test may be simultaneously accessed. Multiple instructions may be linked. The instructions may specify special counting functions within the counters and/or specify integrated (linked) counters.
    Type: Application
    Filed: February 18, 2011
    Publication date: August 25, 2011
    Applicant: MOSYS, INC.
    Inventor: Rajesh Chopra
  • Publication number: 20110202803
    Abstract: A method for testing an address bus (14) in a logic module (10), a logic module (10), a computer program and a computer program product are described. The presented method provides for a logic module (10) to have at least one data register, into which addresses detected by the address decoder (18) are written.
    Type: Application
    Filed: September 10, 2008
    Publication date: August 18, 2011
    Inventors: Thomas Schneider, Peter Wirth, Otto Pfitzer
  • Publication number: 20110179322
    Abstract: A program verification circuit comprises a failed state counting unit and a failed bit counting unit. The failed state counting unit counts failed program states among a plurality of program states, and generates a first program mode signal indicating whether counting of failed bits is required. The failed bit counting unit selectively counts failed bits in response to the first program mode signal, and generates a second program mode signal indicating whether a program operation is completed.
    Type: Application
    Filed: December 22, 2010
    Publication date: July 21, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji-Sang LEE, Oh-Suk KWON
  • Publication number: 20110179323
    Abstract: The present invention relates to a memory with a self-test function and a method for testing the same. The memory comprises a testing unit, a memory unit, and a comparison module. The method for testing the memory comprises steps of the testing unit producing a pattern signal; a first storage block of the memory unit storing storage data, and outputting the storage data according to the pattern signal; a second storage block of the memory storing a compare signature corresponding to the storage data; and the compare module producing a test signature according to the storage data output by the memory unit, and comparing the test signature to the compare signature and outputting a testing result for judging validity of the memory unit. Thereby, the memory unit according to the present invention is partitioned into two storage blocks for storing the storage data and the compare signature, respectively, and thus achieving the purposes of saving the testing time, costs, and hardware resources.
    Type: Application
    Filed: January 17, 2011
    Publication date: July 21, 2011
    Applicant: REALTEK SEMICONDUCTOR CORP.
    Inventors: Shuo-Fen Kuo, Jih-Nung Lee, Sung-Kuang Wu
  • Publication number: 20110167307
    Abstract: A semiconductor memory in which arbitrary operation mode information is set in a plurality of CRs at test time and by which a test cost is reduced and a method for testing such a semiconductor memory. The plurality of CRs hold operation mode information. When a CR control circuit detects write commands to write to an address for register access or read commands to read from the address for register access in a predetermined order, the CR control circuit updates the operation mode information for each of the plurality of CRs on a time division basis. A command generation section generates the write commands, the read commands, or a test start command by which write operation or read operation does not occur, in response to a control signal from the outside. In addition, the command generation section regenerates the test start command each time the plurality of CRs are updated.
    Type: Application
    Filed: March 17, 2011
    Publication date: July 7, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kaoru MORI
  • Publication number: 20110126051
    Abstract: An integrated circuit includes a plurality of processing stages each including processing logic 1014, a non-delayed signal-capture element 1016, a delayed signal-capture element 1018 and a comparator 1024. The non-delayed signal-capture element 1016 captures an output from the processing logic 1014 at a non-delayed capture time. At a later delayed capture time, the delayed signal-capture element 1018 also captures a value from the processing logic 1014. An error detection circuit 1026 and error correction circuit 1028 detect and correct random errors in the delayed value and supplies an error-checked delayed value to the comparator 1024. The comparator 1024 compares the error-checked delayed value and the non-delayed value and if they are not equal this indicates that the non-delayed value was captured too soon and should be replaced by the error-checked delayed value.
    Type: Application
    Filed: October 13, 2010
    Publication date: May 26, 2011
    Inventors: Krisztian Flautner, Todd Michael Austin, David Theodore Blaauw, Trevor Nigel Mudge
  • Publication number: 20110119539
    Abstract: An address operation circuit generates a row address which indicates an address in memory under test to be accessed. The row address memory stores the row addresses generated by the address operation circuit in increments of banks. A memory control signal that includes a bank address to be applied to the memory under test, and which is generated according to a pattern program, is used as a save address to be used to write the row address to the row address memory, and as a load address to be used to read out the row address from the row address memory.
    Type: Application
    Filed: May 21, 2008
    Publication date: May 19, 2011
    Applicant: ADVANTEST CORPORATION
    Inventor: Takahiro Yasui
  • Publication number: 20110119540
    Abstract: An optimized JTAG interface is used to access JTAG Tap Domains within an integrated circuit. The interface requires fewer pins than the conventional JTAG interface and is thus more applicable than conventional JTAG interfaces on an integrated circuit where the availability of pins is limited. The interface may be used for a variety of serial communication operations such as, but not limited to, serial communication related integrated circuit test, emulation, debug, and/or trace operations.
    Type: Application
    Filed: January 24, 2011
    Publication date: May 19, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110119543
    Abstract: An integrated circuit or circuit board includes functional circuitry and a scan path. The scan path includes a test data input lead, a test data output lead, a multiplexer, and scan cells. A dedicated scan cell has a functional data output separate from a test data output. Shared scan cells each have a combined output for functional data and test data. The shared scan cells are coupled in series. The test data input of the first shared scan cell is connected to the test data output of the dedicated scan cell. The combined output of one shared scan cell is coupled to the test data input lead of another shared scan cell. The multiplexer has an input coupled to the test data output, an input connected to the combined output lead of the last shared scan cell in the series, and an output connected in the scan path.
    Type: Application
    Filed: January 24, 2011
    Publication date: May 19, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110093752
    Abstract: Methods and apparatuses for synthesizing and/or implementing an augmented multimode compactor are described.
    Type: Application
    Filed: December 22, 2010
    Publication date: April 21, 2011
    Applicant: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Publication number: 20110087938
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations.
    Type: Application
    Filed: November 23, 2010
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110087939
    Abstract: Two common varieties of test interfaces exist for ICs and/or cores, the IEEE 1149.1 Test Access Port (TAP) interface and internal scan test ports. The TAP serves as a serial communication port for accessing a variety of circuitry including; IEEE 1149.1 boundary scan circuitry, built in self test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation/debug circuitry, and IEEE P1532 in-system programming circuitry. Internal scan test ports serve as a serial communication port for primarily accessing internal scan circuitry within ICs and cores. Today, the TAP and internal scan test ports are typically viewed as being separate test interfaces, each utilizing different IC pins and/or core terminals.
    Type: Application
    Filed: November 23, 2010
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110066904
    Abstract: A structure, system, and method block clock inputs to clock domains (using a computer). While the clock domain inputs are blocked, the structure, system, and method perform a first timing test only of signals that are transmitted within the clock domains (using the computer) by only observing latches that receive signals from sources within the clock domains. The structure, system, and method also unblock the clock inputs to the clock domains (using the computer). While the clock domain inputs are unblocked, the structure, system, and method perform a second timing test only of signals that are transmitted between the clock domains by only observing latches that receive signals from other clock domains.
    Type: Application
    Filed: September 11, 2009
    Publication date: March 17, 2011
    Applicant: International Business Machines Corporation
    Inventor: David E. Lackey
  • Publication number: 20110055649
    Abstract: Methods, apparatuses and articles for testing security of a mapping function—such as a Physically Unclonable Function (PUF)—of an integrated circuit (IC) are disclosed. In various embodiments, one or more tests may be performed. In various embodiments, the tests may include a predictability test, a collision test, a sensitivity test, a reverse-engineering test and an emulation test. In various embodiments, a test may determine a metric to indicate a level of security or vulnerability. In various embodiments, a test may include characterizing one or more delay elements and/or path segments of the mapping function. Other embodiments may be described and claimed.
    Type: Application
    Filed: August 25, 2009
    Publication date: March 3, 2011
    Inventors: Farinaz Koushanfar, Miodrag Potkonjak
  • Publication number: 20100115355
    Abstract: A method of improving uplink transmission for a MAC layer of a UE in a wireless communication system includes monitoring a transmission buffer and controlling a periodic buffer status report (BSR) timer to expire when lower priority data arrives at the transmission buffer in the condition that a periodic BSR is configured and running.
    Type: Application
    Filed: November 4, 2009
    Publication date: May 6, 2010
    Inventor: Chia-Chun Hsu
  • Publication number: 20100031098
    Abstract: A method and apparatus of optimizing transmission (both real time and continuous) of a number of multimedia data packets between a multimedia source device and a multimedia display device is disclosed. In the described embodiment, the multimedia source device and the display device are coupled by way of a unidirectional main link arranged to carry the multimedia data packets from the multimedia source device and the multimedia display device and a bi-directional auxiliary channel arranged to transfer information between the multimedia source device and the multimedia display device. The method can be carried out by following at least the following operations. Providing a test pattern by the multimedia source device on the main link, determining a transmission quality factor of the main link based upon the test pattern, and optimizing the transmission of the multimedia data packets based upon the transmission quality factor.
    Type: Application
    Filed: October 8, 2009
    Publication date: February 4, 2010
    Applicant: GENESIS MICROCHIP, INC.
    Inventor: Osamu Kobayashi
  • Publication number: 20090094494
    Abstract: A semiconductor integrated circuit includes a semiconductor memory circuit, an address input unit to generate an input address and to input the input address into the semiconductor memory circuit, the address input unit repeating generating and inputting from a start address to a end address, and an output data processor to select a select data and to count a value of the select data. The input address specifies data stored in the semiconductor memory circuit. The select data is a count object of output data read out from the semiconductor memory corresponding to the input address.
    Type: Application
    Filed: October 3, 2008
    Publication date: April 9, 2009
    Applicant: NEC Electronics Corporation
    Inventor: Toshio Takeshima
  • Publication number: 20080059842
    Abstract: A method of implementing a traversal strategy as part of a dynamic verification can include initializing a non-deterministic automaton (NDA) traversal mechanism that has (1) a strategy push-down stack (strategy PDS) that holds traversal strategy pointers and (2) an object push-down stack (object PDS) that holds object pointers, pushing a traversal strategy object pointer onto the strategy PDS, wherein the traversal strategy object pointer points to a traversal strategy object, popping a current object pointer from the object PDS, and determining whether the current object pointer points to a terminal object.
    Type: Application
    Filed: October 26, 2007
    Publication date: March 6, 2008
    Inventors: Sudhir Kadkade, Clifton Lyons