Design Entry Patents (Class 716/102)
  • Patent number: 8893063
    Abstract: A semiconductor integrated circuit including a circuit for adaptive power supply regulation and designed using a process that increases operating speed used for characterizing circuit operation at a slow corner. In some embodiments a slow corner voltage is set to a higher than expected level for timing analysis performed by automated design tools.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: November 18, 2014
    Assignee: QUALCOMM Incorporated
    Inventors: Behnam Malek-Khosravi, Michael Brunolli
  • Patent number: 8893069
    Abstract: A computer-implemented method is disclosed for layout pattern or layout constraint reuse by identifying sub-circuits with identical or similar schematic structure based on a topology comparison strategy. The selected sub-circuit is transformed into a topology representing the relative positions among the instances of the selected sub-circuit. Based on the topology, one or more sub-circuits with identical or similar topologies in a predefined scope of a schematic are recognized and identified. Accordingly, the layout or the layout constraint of the selected sub-circuit is copied and associated to each of the identified sub-circuits. Furthermore, once the sub-circuits are identified, they can be listed on a user interface with notations to allow users to confirm each of the identified sub-circuits respectively.
    Type: Grant
    Filed: October 6, 2012
    Date of Patent: November 18, 2014
    Assignees: Synopsys, Inc., Synopsys Taiwan Co., Ltd.
    Inventors: Yu-Chi Su, Ming-I Lai, Hsiao-Tzu Lu
  • Patent number: 8887121
    Abstract: System and method for specifying and implementing programs. A graphical program is created in a graphical specification and constraint language that allows specification of a model of computation and explicit declaration of constraints in response to user input. The graphical program includes a specified model of computation, a plurality of interconnected functional blocks that visually indicate functionality of the graphical program in accordance with the specified model of computation, and specifications or constraints for the graphical program or at least one of the functional blocks in the graphical program. The specified model of computation and specifications or constraints are useable to analyze the graphical program or generate a program or simulation.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: November 11, 2014
    Assignee: National Instruments Corporation
    Inventors: Kaushik Ravindran, Jacob Kornerup, Rhishikesh Limaye, Guang Yang, Guoqiang Wang, Jeffrey N. Correll, Arkadeb Ghosal, Sadia B. Malik, Charles E. Crain, II, Michael J. Trimborn
  • Patent number: 8881081
    Abstract: A delay parameter extracting apparatus includes a schematic composing unit, a layout composing unit, a verification unit, and a parameter extracting unit. The schematic composing unit is configured to: facilitate design of a schematic circuit; and generate a first net list based on the design of the schematic circuit. The layout composing unit is configured to: facilitate design of a layout based on the schematic circuit; and generate a second net list based on the design of the layout. The verification unit is configured to verify the layout by comparing the first net list to the second net list. The parameter extracting unit is configured to: extract capacitance (C) values from the layout; and extract delay parameters based on the C values with respect to respective nets according to types of delay parameters associated with the respective nets.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: November 4, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventor: Seo-Hyeong Yang
  • Patent number: 8881074
    Abstract: A tool for rewriting hardware design hardware design language (HDL) code is arranged for receiving HDL code (2) expressing a hardware design of a digital circuit. The tool comprises means (4) for generating a representation (6) of the syntax of the received HDL code, the representation containing a plurality of nodes. The tool further comprises means (3) for determining modifications to the representation of the syntax whereby at least one node is added to or removed from the representation and computation means (9) for generating a modified version (10) of the received HDL code using the received HDL code and modifications to the received HDL code, the modifications determined from the modified representation of the syntax.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: November 4, 2014
    Assignee: Sigasi NV
    Inventors: Philippe Paul Henri Faes, Hendrik Richard Pieter Eeckhaut
  • Publication number: 20140317582
    Abstract: Techniques for performing race logic synthesis on an integrated circuit (IC) are described herein. According to one aspect of the invention, ESL (electronic system level) and any HDL (hardware description language) design source files of an IC design are compiled into a design database. Race logic analysis is performed on the IC design to detect race logic, including race logic for IPC (inter-process communication) and IPS (inter-process synchronization) objects in the IC design, by a third-party tool and/or by the same host EDA (electronic design automation) tool that will be performing race logic synthesis on the IC design, if the latter has built-in race logic audit functions. Based on the race logic audit results, race logic synthesis is performed on the design database, and getting rid of all identified race logic in the IC design, including IPC- and IPS-related race logic.
    Type: Application
    Filed: April 19, 2013
    Publication date: October 23, 2014
    Inventor: Terence Wai-Kwon Chan
  • Patent number: 8869080
    Abstract: An automated process identifies which components that retain their state need to be resettable in a design. The design is analyzed to identify components that retain their state and are non-resettable. A set of simulation tests is run on the design, where each test is known to pass when all components that retain their state are reset at reset. The tests are run with a respective logic value (1 or 0) randomly assigned to each non-resettable component at reset, until a test run fails. The failed test is rerun a specified number of times, each time with a different set of randomly assigned logic values provided to non-resettable components at reset. For each run, statistics are logged for each non-resettable component according to the test results and the logic value provided to the non-resettable component. The process determines which non-resettable components need to be resettable according to the statistics.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: October 21, 2014
    Assignee: Apple Inc.
    Inventors: Edmond R. Bures, Fritz A. Boehm
  • Patent number: 8869081
    Abstract: Various embodiments include computer-implemented methods, computer program products and systems for generating an integrated circuit (IC) library for use in a scatterometry analysis. In some cases, approaches include: obtaining chip design data about at least one IC chip; obtaining user input data about the at least one IC chip; and running an IC library defining program using the chip design data in its original format and the user input data in its original format, the running of the IC library defining program including: determining a process variation for the at least one IC chip based upon the chip design data and the user input data; converting the process variation into shape variation data; and providing the shape variation data in a text format to a scatterometry modeling program for use in the scatterometry analysis.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: October 21, 2014
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Nedal Saleh, Alok Vaid
  • Publication number: 20140310666
    Abstract: In an embodiment of the invention, variable test clock circuitry is provided within an integrated circuit desired to be tested. The variable test clock frequency implements a test clock control register that receives serial test data from a device tester and is configured to serially pass the received test data to scan test chains within the integrated circuit. The test clock control register stores test clock information. The test clock information is provided to a test clock generator where the test clock generator then produces test clock signals at a predetermined frequency. The test clock signal is then provided as a test clock frequency for the scan test chains within the integrated circuit. Methods are also disclosed for operating the variable test clock frequency.
    Type: Application
    Filed: October 31, 2013
    Publication date: October 16, 2014
    Applicant: Eigenix
    Inventor: Sung S. Chung
  • Publication number: 20140310665
    Abstract: A system, method, and computer program product are provided for translating a hardware design. In use, a hardware design is received that is a graph-based common representation of a hardware design stored in a hardware model database. Logic code is generated for each hardware module node of the graph-based common representation of the hardware design. Additionally, flow control code is generated for each hardware module node of the graph-based common representation of the hardware design. A logic code model of the hardware design that includes the generated logic code and the generated flow control code is stored.
    Type: Application
    Filed: April 10, 2013
    Publication date: October 16, 2014
    Applicant: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Publication number: 20140310664
    Abstract: A system, method, and computer program product are provided for translating a hardware design. In use, a hardware design is received that is a graph-based intermediate representation of a hardware design stored in a source database. An instance of each unique module in the source database is determined and a hardware module node is generated for each unique module. Additionally, a list of one or more instances is associated with each hardware module node and a graph-based common representation of the hardware design that includes one or more of the generated hardware module nodes is stored.
    Type: Application
    Filed: April 10, 2013
    Publication date: October 16, 2014
    Applicant: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 8856701
    Abstract: The present disclosure relates to an apparatus and method to generate a device library, along with layout versus schematic (LVS) and parasitic extraction set-up files for connecting with official tools of a design window supported by a process design kit (PDK). The device library comprises passive devices which can be utilized at any point in an end-to-end design flow from pre-layout verification to post-layout verification of an integrated circuit design. The device library allows for a single schematic view for pre-layout verification but also post-layout verification, thus allowing for pole or pin comparison, and prevents double-counting of parasitic effects from passive design elements by directly instantiating a device from the device library for a verification step. An LVS and parasitic extraction graphical user interface (GUI) allows for incorporation of the generated device library into a pre-existing PDK without any modification to the PDK. Other devices and methods are also disclosed.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Sheng Chen, Tsun-Yu Yang, Wei-Yi Hu, Tao Wen Chung, Hui Yu Lee, Jui-Feng Kuan, Yi-Kan Cheng
  • Publication number: 20140284816
    Abstract: Disclosed herein are through silicon vias (TSVs) and contacts formed on a semiconductor material, methods of manufacturing, and design structures. The method includes forming a contact hole in a dielectric material formed on a substrate. The method further includes forming a via in the substrate and through the dielectric material. The method further includes lining the contact hole and the dielectric material with a metal liner using a deposition technique that will avoid formation of the liner in the viaformed in the substrate. The method further includes filling the contact hole and the via with a metal such that the metal is formed on the liner in the contact hole and directly on the substrate in the via.
    Type: Application
    Filed: June 11, 2014
    Publication date: September 25, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeffrey P. Gambino, Cameron E. Luce, Daniel S. Vanslette, Bucknell C. Webb
  • Publication number: 20140289685
    Abstract: Dynamic power driven clock tree synthesis is described. Some embodiments can select one or more cells from a cell library based on power ratios of cells in the cell library. The embodiments can then construct a clock tree based on the one or more cells.
    Type: Application
    Filed: March 21, 2014
    Publication date: September 25, 2014
    Applicant: SYNOPSYS, INC.
    Inventors: Xiaojun Ma, Aiqun Cao
  • Patent number: 8843861
    Abstract: The application is directed towards facilitating the debugging of suspected errors in a proprietary component when the proprietary component is incorporated into a larger electronic design. Various implementations provide for the generation of a reference model for an integrated circuit design, where the reference model includes the proprietary component and sufficient information about the rest of the design to allow for the debugging of the proprietary component over a period of verification where the error in the proprietary component is suspected.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: September 23, 2014
    Assignee: Mentor Graphics Corporation
    Inventor: Charles W. Selvidge
  • Patent number: 8843863
    Abstract: A circuit optimization information management apparatus provides information to be used when a circuit parameter optimization program is executed to design an integrated circuit. The apparatus includes an accumulator for registering information relating to a candidate of a circuit type used in a design target circuit, a simulation test bench circuit corresponding to the circuit type, a simulation test input waveform and a circuit performance evaluation function for evaluating simulation results, and a feeder for selecting, in response to selection of the circuit type used in the design target circuit, information relating to the test bench circuit, the test input waveform and the performance evaluation function, corresponding to the selected circuit type registered in the accumulator and feeding the selected information to the circuit parameter optimization program.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: September 23, 2014
    Assignee: Sony Corporation
    Inventor: Noriyuki Kobayashi
  • Publication number: 20140282310
    Abstract: A method of generating, based on a first netlist of an integrated circuit, a second netlist includes generating layout geometry parameters for at least a portion of the first netlist of the integrated circuit, the portion including a first device. A third netlist is generated based on the first netlist and the layout geometry parameters. A description in the third netlist for modeling the first device is decomposed into a description in a fourth netlist for modeling a plurality of secondary devices. The second netlist is generated based on the fourth netlist.
    Type: Application
    Filed: May 30, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui Yu LEE, Feng Wei KUO, Jui-Feng KUAN, Simon Yi-Hung CHEN
  • Publication number: 20140282308
    Abstract: The present disclosure relates to an apparatus and method to generate a device library, along with layout versus schematic (LVS) and parasitic extraction set-up files for connecting with official tools of a design window supported by a process design kit (PDK). The device library comprises passive devices which can be utilized at any point in an end-to-end design flow from pre-layout verification to post-layout verification of an integrated circuit design. The device library allows for a single schematic view for pre-layout verification but also post-layout verification, thus allowing for pole or pin comparison, and prevents double-counting of parasitic effects from passive design elements by directly instantiating a device from the device library for a verification step. An LVS and parasitic extraction graphical user interface (GUI) allows for incorporation of the generated device library into a pre-existing PDK without any modification to the PDK. Other devices and methods are also disclosed.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Inventors: Chin-Sheng Chen, Tsun-Yu Yang, Wei-Yi Hu, Tao Wen Chung, Hui Yu Lee, Jui-Feng Kuan, Yi-Kan Cheng
  • Publication number: 20140282309
    Abstract: A system, method, and computer program product are provided for creating a hardware design. In use, one or more parameters are received, where at least one of the parameters corresponds to an interface protocol. Additionally, a data flow is constructed based on the one or more parameters. Further, an indication of one or more control constructs is received, where a hardware design is capable of being created, utilizing the constructed data flow and the one or more control constructs.
    Type: Application
    Filed: April 23, 2013
    Publication date: September 18, 2014
    Applicant: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Publication number: 20140270050
    Abstract: An automated method is provided for designing an integrated circuit. A net list of an integrated circuit design is generated, wherein the net list includes a scan chain having a sequence of individual scan cells. A sequence of two or more individual scan cells of the scan chain is identified as a candidate for replacement by a custom shift array macro cell. The identified sequence of two or more individual scan cells is then replaced with a custom shift array macro cell that provides a functionally equivalent shift function as the replaced sequence of two or more individual scan cells. The custom shift array macro cell includes only two input pins and one output pin.
    Type: Application
    Filed: May 29, 2013
    Publication date: September 18, 2014
    Inventors: Hai Wang, Joseph Garofalo, Barry L. Bartholomew, Liu Ming Xu, Han Jun Zhang, You Xin Rao, Qin Xie
  • Publication number: 20140264485
    Abstract: An apparatus comprises a substrate and a fin-type semiconductor device extending from the substrate. The fin type semiconductor device comprises a fin that comprises a first region having a first doping concentration and a second region having a second doping concentration. The first doping concentration is greater than the second doping concentration. The fin type semiconductor device also comprises an oxide layer. Prior to source and drain formation of the fin-type semiconductor device, a doping concentration of the oxide layer is less than the first doping concentration.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: QUALCOMM INCORPORATED
    Inventors: Xia Li, Bin Yang, Stanley Seungchul Song
  • Patent number: 8839163
    Abstract: A behavioral synthesis method according to the present invention includes generating a scheduled CDFG based on behavioral description information, generating a lifetime for each variable based on the scheduled CDFG, selecting m variables whose lifetimes do not overlap on a time axis, allocating a first register to a first variable having a first bit width and bits of the first bit width within another variable, allocating a second register to bits other than the bits of the first bit width within another variable, and outputting circuit information of a synthesized circuit including the first and second registers.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Motohide Ootsubo
  • Patent number: 8839161
    Abstract: A semiconductor device includes a first semiconductor chip including a plurality of driver circuits and an output switching circuit coupled to the plurality of driver circuits. The device also includes a second semiconductor chip and a plurality of through silicon vias provided on at least one of the first and second semiconductor chips. The output switching circuit is coupled between the plurality of driver circuits and the plurality of the through silicon vias, and outputs each of signals from the plurality of driver circuits to corresponding one of the plurality of through silicon vias.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: September 16, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 8832612
    Abstract: A method is provided to convert an analog mixed-signal schematic design to a digital netlist: digital blocks within the schematic design are converted to digital netlist modules; analog blocks within the schematic design are converted to analog netlist modules: at least one digital netlist module includes a first identifier for a component that is shared between at least one digital block and at least one analog block within the schematic design; an analog netlist module that corresponds to the at least one analog block within the design includes a second identifier for the shared component that is different from the first identifier; the analog netlist modules are converted to corresponding digital netlist modules; the first identifier is substituted for the second identifier in the course of translating the analog netlist module that corresponds to the at least one analog block.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: September 9, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Prabal Kanti Bhattacharya, Timothy Martin O'Leary
  • Patent number: 8826200
    Abstract: Methods and systems for binning defects on a wafer are provided. One method includes identifying areas in a design for a layer of a device being fabricated on a wafer that are not critical to yield of fabrication of the device and generating an altered design for the layer by eliminating features in the identified areas from the design for the layer. The method also includes binning defects detected on the layer into groups using the altered design such that features in the altered design proximate positions of the defects in each of the groups are at least similar.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: September 2, 2014
    Assignee: KLA-Tencor Corp.
    Inventors: Allen Park, Ellis Chang
  • Publication number: 20140231236
    Abstract: A micro-electro-mechanical system (MEMS), methods of forming the MEMS and design structures are provided. The method includes forming a coplanar waveguide (CPW) comprising a signal electrode and a pair of electrodes on a substrate. The method includes forming a first sacrificial material over the CPW, and a wiring layer over the first sacrificial material and above the CPW. The method includes forming a second sacrificial material layer over the wiring layer, and forming insulator material about the first sacrificial material and the second sacrificial material. The method includes forming at least one vent hole in the insulator material to expose portions of the second sacrificial material, and removing the first and second sacrificial material through the vent hole to form a cavity structure about the wiring layer and which exposes the signal line and pair of electrodes below the wiring layer. The vent hole is sealed with sealing material.
    Type: Application
    Filed: September 30, 2013
    Publication date: August 21, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hanyi DING, Qizhi LIU, Anthony K. STAMPER
  • Patent number: 8813005
    Abstract: Approaches for testing a module of a circuit design include tagging flip-flops in a netlist of the module with respective path names of the flip-flops from a hardware description language specification of the module. In simulating with the netlist, event data are captured to a first file. A process determines whether or not event data in the first file matches event data in a second file of event data. In response to a difference determined between the first file and the second file, an earliest occurrence of an event in the first file having an associated signal value of a first signal that does not match an associated signal value of a corresponding event in the second file is determined. The one of the plurality of flip-flops that output the first signal is determined, and the respective path name of the one flip-flop is output.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: August 19, 2014
    Assignee: Xilinx, Inc.
    Inventors: Khang K. Dao, Kyle Corbett
  • Patent number: 8813004
    Abstract: An apparatus and method for visualizing faults in a circuit design includes simulating faults for a circuit design in a layout and a schematic, editing the layout and schematic to include the simulated fault, and linking the layout and schematic with the fault simulation.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: August 19, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Hao Ji, Joseph M. Swenton
  • Patent number: 8813002
    Abstract: The embodiment is a non-transitory computer readable storage medium storing a design support program which causes a computer to generate design data for a circuit board in which elements are placed. The program causes the computer to perform: storing, in response to an operation input, operation information in an operation storage section; storing a function of a program executed based on the operation input in a function history storage section; upon detection of an operation of a command causing the computer to execute a predetermined function for generating the design data, acquiring a selected element and storing the selected element in an element information storage section; and detecting an abnormal end of the predetermined function to output the function of the program in the function history storage section, the operation information in the operation information storage section, and the element in the element information storage section to a log file.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: August 19, 2014
    Assignee: Fujitsu Limited
    Inventor: Motoyuki Tanisho
  • Patent number: 8813006
    Abstract: In one embodiment of the invention, a method for electronic circuit design is disclosed. The method includes analyzing a netlist of a subcircuit to determine one or more input pins and one or more output pins; forming an arc graph of the subcircuit including one or more timing arcs between the one or more input pins and the one or more output pins; and reducing the number of transistors to perturb to perform a sensitivity analysis for within die process variations over the one or more timing arcs to reduce the number of simulations to characterize the subcircuit.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: August 19, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Harindranath Parameswaran, Sachin Shrivastava
  • Publication number: 20140229906
    Abstract: Disclosed is a system in which in order to obtain the operation parameter of a circuit based on an implementable area indicating a circuit scale that can be implemented on a circuit implementation device, circuit area information, and operation parameter measuring circuit area information, an observation signal number determining means determines observation signal information on a circuit that obtains the operation parameter of the circuit. The number of the extracted signals is determined in view of the area that can be implemented on a digital LSI or an emulator and the area of the circuit to be implemented (refer to FIG. 1).
    Type: Application
    Filed: April 15, 2014
    Publication date: August 14, 2014
    Applicant: NEC CORPORATION
    Inventor: KOHEI HOSOKAWA
  • Patent number: 8806398
    Abstract: A method for designing an electronic component includes receiving a device criteria (e.g., a parametric value, procurement value, etc.) from a designer, querying a database for devices corresponding to the device criteria, querying the database for procurement data and/or engineering data associated with the corresponding devices, presenting the devices to the designer based on the procurement data, and receiving input from the designer identifying one of the presented devices as a selected device. In a particular method, the returned devices are sorted based on one or more procurement values (e.g., manufacturer, price, availability, manufacturer status, etc.), and presented to the designer in a ranked list. Objects representative of the selected devices are then entered into a design file, and the objects are associated with the device's engineering and/or procurement data. In a particular embodiment, the objects are associated with the engineering data by embedding the engineering data in the file object.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: August 12, 2014
    Assignee: Flextronics AP, LLC
    Inventors: Nicholas E. Brathwaite, Ram Gopal Bommakanti, Visvanathan Ganapathy, Paul N. Burns, Douglas Edward Maddox, Michael Anthony Durkan
  • Patent number: 8806404
    Abstract: A system and method for reducing power consumption in a reconfigurable integrated circuit. Some embodiments provide placement and routing programs that reduce the number of bits to be reconfigured. Some embodiments provide placement and routing programs that increase the number of groups of circuits that do not need reconfiguration at some times. Some embodiments include circuits that selectively block reconfiguration.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: August 12, 2014
    Assignee: Tabula, Inc.
    Inventors: Randy R. Huang, Martin Voogel, Jingcao Hu, Steven Teig
  • Patent number: 8806405
    Abstract: A method is provided to produce a constraint information for use to implement a routing process used to generate routing signal lines in an integrated circuit design comprising: producing a net topology pattern structure that corresponds to a logical net that is associated with at least two instance item structures of at least one functional design, wherein the net topology pattern structure is associated with the at least two instance item structures and includes multiple constituent structures that indicate at least one constraint upon physical implementation of the logical net structure.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: August 12, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Regis Colwell, Arnold Ginetti, Khalid ElGalaind, Thomas Jordan, Jose A. Martinez, Jeffrey Markham, Steven Riley, Chung-Do Yang
  • Patent number: 8799846
    Abstract: Embodiments of the disclosure relate to methods for facilitating the design of a clock grid in an integrated circuit. The method includes propagating a chip level virtual grid across a multi-level hierarchy of the integrated circuit and customizing the grid at each macro to create a customized virtual grid for each macro. The method further includes propagating the customized virtual grid for each of the plurality of macros to one of a plurality of units and customizing the chip level virtual grid at each of the plurality of units to create the customized virtual grid for each of the plurality of units. The method also includes propagating the customized virtual grid for each of the plurality of units to the chip level and combining the plurality of customized virtual grids to form the clock grid for the integrated circuit.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Christopher J. Berry, Joseph N. Kozhaya, Daniel R. Menard, Susan R. Sanicky, Amanda C. Venton, Paul G. Villarrubia, Michael H. Wood
  • Patent number: 8799836
    Abstract: In one embodiment, at least one design library element having a design marker shape is applied to a yield checking tool having library element types, each having a yield checking deck threshold and a marker shape. The design marker shape is compared to each of the marker shapes. A determination is made as to whether the design library element satisfies the yield checking deck threshold associated with the library element type having a matching marker shape. In another embodiment, a product design formed from a design library elements each having a design marker shape is applied to the yield checking tool in a similar manner. In instances where the design library elements do not satisfy the yield checking deck threshold, then the design library element is updated by modifying the design library elements, placement of the design library elements in the product design, and/or wiring connecting the design library elements.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. S. Bickford, Anand Kumaraswamy, Terry M. Lowe, Mark S. Styduhar, Lijiang L. Wang
  • Patent number: 8799835
    Abstract: Roughly described, while manually dragging shapes during IC layout editing, editing operations determine which edges of which shapes are moving at what speed ratios. Based on the edge information and the DRC rules, the system calculates and keeps track of the minimum of the maximum distance the edges are allowed to move with the cursor without violating DRC rules, in four linear directions and all corner directions. Once a next cursor destination point is known, a DRC clean destination point is calculated based on the linear and corner bounds. If the next cursor position is beyond a the push-through distance ahead of the new DRC clean point, the editing objects are moved to the user's destination point. Otherwise, the editing objects are moved to the new DRC clean destination point, thereby stopping movement at that point.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: August 5, 2014
    Assignee: Synopsys, Inc.
    Inventors: Jon Bendicksen, Randy Bishop, Zuo Dai, John Hapli, Dick Liu, Ming Su
  • Patent number: 8799850
    Abstract: Some embodiments provide a system that performs a simulation within an electronic design automation (EDA) application. During operation, the system obtains a design from a user of the EDA application. Next, the system performs the simulation using the design to create a set of current simulation results associated with the design. The system then automatically saves a current design state of the design which is associated with the current simulation results. Finally, the system enables subsequent access to the current design state and one or more previous design states of the design by the user through a graphical user interface (GUI) associated with the EDA application.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: August 5, 2014
    Assignee: Synopsys, Inc.
    Inventors: Salem L. Ganzhorn, Kristin M. Beggs, Govindaswamy Chithamudali
  • Publication number: 20140203894
    Abstract: On-chip millimeter wave (mmW) notch filters with via stubs, methods of manufacture and design structures are disclosed. The notch filter includes a signal line comprising a metal trace line connected to a metal via stub partially extending into a semiconductor substrate. The notch filter further includes a defected ground plane connected to at least one or more additional metal via stubs partially extending into the semiconductor substrate.
    Type: Application
    Filed: January 23, 2013
    Publication date: July 24, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Patent number: 8788988
    Abstract: Techniques and structures relating to consistency management for fabrication data are disclosed. A plurality of data sources may contain different values for a variety of design parameters usable by electronic circuit design tools to physically lay out at least a portion of an integrated circuit (such as minimum spacing rules, etc.). By seeking to detect different parameter values and/or parameter values that fail to meet a confidence threshold, potential errors may be uncovered at an earlier stage of the design process. Error detection may occur in response to a request to a database, or as part of a consistency check. Different file formats for different design tools may be imported into a central database to facilitate system operation, and an application programming interface may be used to acquire or calculate data values and perform checks in some embodiments.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: July 22, 2014
    Assignee: Apple Inc.
    Inventors: Jeffrey B. Reed, Brian J. Nalle, Michael A. Dukes
  • Patent number: 8788989
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: July 22, 2014
    Assignee: Coherent Logix, Incorporated
    Inventor: Tommy K. Eng
  • Publication number: 20140201693
    Abstract: Various embodiments include computer-implemented methods, computer program products and systems for generating an integrated circuit (IC) library for use in a scatterometry analysis. In some cases, approaches include: obtaining chip design data about at least one IC chip; obtaining user input data about the at least one IC chip; and running an IC library defining program using the chip design data in its original format and the user input data in its original format, the running of the IC library defining program including: determining a process variation for the at least one IC chip based upon the chip design data and the user input data; converting the process variation into shape variation data; and providing the shape variation data in a text format to a scatterometry modeling program for use in the scatterometry analysis.
    Type: Application
    Filed: January 15, 2013
    Publication date: July 17, 2014
    Applicants: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nedal Saleh, Alok Vaid
  • Publication number: 20140191408
    Abstract: A backside metal ground plane with improved metal adhesion and methods of manufacture are disclosed herein. The method includes forming at least one through silicon via (TSV) in a substrate. The method further includes forming an oxide layer on a backside of the substrate. The method further includes forming a metalized ground plane on the oxide layer and in electrical contact with an exposed portion of the at least one TSV.
    Type: Application
    Filed: January 10, 2013
    Publication date: July 10, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jay S. BURNHAM, Damyon L. CORBIN, George A. DUNBAR, III, Jeffrey P. GAMBINO, John C. HALL, Kenneth F. MCAVEY, JR., Charles F. MUSANTE, Anthony K. STAMPER
  • Publication number: 20140191816
    Abstract: Embodiments of the present invention provide a design structure and method for compensating for a change in frequency of oscillation (FOO) of an LC-tank VCO that includes a first node; second node; inductor; first capacitive network (FCN) that allows the design structure to obtain a target FOO; compensating capacitive (CCN) network that compensates for a change in the design structure's FOO; second capacitive network (SCN) that allows the design structure to obtain a desired FOO; a filter that supplies a voltage to the SCN and is coupled to the SCN; a transconductor that compensates for a change in the design structure's FOO; and a sub-circuit coupled to the SCN that generates and supplies voltage to the CCN sufficient to allow the CCN to compensate for a reduction in the design structure's FOO. The first and second nodes are coupled to the inductor, FCN, CCN, SCN, and sub-circuit.
    Type: Application
    Filed: January 4, 2013
    Publication date: July 10, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Herschel A. Ainspan, Ram Kelkar, Anjali R. Malladi, Ramana M. Malladi
  • Publication number: 20140189617
    Abstract: Methods and apparatuses are described for creating, editing, and viewing a floorplan of a circuit design. Specifically, some embodiments enable a user to perform a graphical operation at an inference point in a circuit design layout, wherein the location of the inference point is determined based on existing graphical objects in the circuit design layout. Some embodiments substantially instantaneously update a congestion indicator in a circuit design layout in response to modifying the circuit design layout. Some embodiments substantially instantaneously update pin locations of a block or partition in response to changing the size or shape of the block or partition. Some embodiments enable a user to view a circuit design layout based on the logical hierarchy, and also based on at least one additional attribute type such as voltage, power, or clock domain.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: SYNOPSYS, INC.
    Inventors: Balkrishna R. Rashingkar, David L. Peart, Russell Segal, Douglas Chang, Ksenia Roze
  • Publication number: 20140189618
    Abstract: A wiring design support apparatus includes: an input device with which input data about a wiring design content in a multilayered printed circuit board is input; a storage device includes a stab length limitation value table and a back drill application table stored therein, wherein the stab length limitation value table includes set data of a limitation value about a stab length of a through hole of the printed circuit board, and the back drill application table includes set data of information about whether a conductor of a stab of the printed circuit board can be removed or not; and a processor configured to determine, based on the stab length limitation value table and the back drill application table, whether a wiring design of the input data is appropriate.
    Type: Application
    Filed: August 9, 2013
    Publication date: July 3, 2014
    Applicant: FUJITSU LIMITED
    Inventor: Kazuhiro SAKAI
  • Publication number: 20140189619
    Abstract: An innovative realization of computer hardware, software and firmware comprising a multiprocessor system wherein at least one processor can be configured to have a fixed instruction set and one or more processors can be statically or dynamically configured to implement a plurality of processor states in a plurality of technologies. The processor states may be instructions sets for the processors. The technologies may include programmable logic arrays.
    Type: Application
    Filed: November 15, 2013
    Publication date: July 3, 2014
    Applicant: FTL SYSTEMS, INC.
    Inventor: John C. Willis
  • Publication number: 20140183692
    Abstract: Some methods provide an electronic design file, which includes an integrated circuit (IC) component that is operably coupled to a package component. The IC component and package component collectively form a resistor inductor capacitor (RLC) resonant circuit. The method also provides a damping component in the electronic design file. This damping component is configured to reduce a pre-resonant time during which energy exchanged in the RLC resonant circuit approaches a steady-state, and thereby speeds simulation time.
    Type: Application
    Filed: December 31, 2013
    Publication date: July 3, 2014
    Inventor: Chao-Yang Yeh
  • Patent number: 8769477
    Abstract: A user interface for a computer-aided design tool includes a display. The display includes a visualization of a processor system of a system-on-a-chip (SOC). The visualization includes a plurality of blocks and each block represents a component of the processor system. Each block visually indicates a configuration status of the component represented by the block.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Yogesh Gathoo, Siddharth Rele, Gregory A. Brown, Avdhesh Palliwal, Gangadhar Budde, Sumit Nagpal
  • Patent number: 8769449
    Abstract: Methods for generating a circuit design are disclosed. A plurality of cells is instantiated in the circuit design in response to user input. The set of interface parameters of each cell is arranged into a hierarchy of interface levels as indicated by an interface model corresponding to the cell. For each of the interface levels, values of the sets of interface parameters of cells included in the interface level are respectively propagated to other cells directly connected to the cell. In response to propagating a value of an interface parameter from another cell of the plurality of cells to the cell and the cell having a value of the corresponding interface parameter that is different from the propagated value, a value for the corresponding interface parameter of the cell is determined using a respective propagation function associated with the corresponding interface level.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Adam P. Donlin, Biping Wu, Kyle Corbett, Nabeel Shirazi, Shay P. Seng, Amit Kasat, Srinivas Beeravolu, Khang K. Dao, Jeffrey H. Seltzer, Christopher J. Case