Design Verification (functional Simulation, Model Checking) Patents (Class 716/106)
  • Patent number: 11941337
    Abstract: A method of modeling a nonlinear component includes providing a physical model for modeling a characteristic of the nonlinear component defined by a physical expression having a physical nonlinear function depending on variables and parameters of the nonlinear component; determining performance data for the characteristic; extracting global parameter values for the parameters based on the performance data; extracting local parameter values for the selected parameter, while keeping fixed the extracted global parameter values for the remaining parameters, based on the performance data corresponding to the characteristic using the physical expression; training an ANN function from the extracted local parameter values for the selected parameter depending on a variable; and determining a hybrid model for modeling the characteristic of the nonlinear component defined by a modified physical expression including the physical nonlinear function, the remaining parameters, and the trained ANN function depending on the v
    Type: Grant
    Filed: July 31, 2021
    Date of Patent: March 26, 2024
    Assignee: KEYSIGHT TECHNOLOGIES, INC.
    Inventors: Jianjun Xu, David E. Root
  • Patent number: 11900035
    Abstract: Systems and methods are described herein for attribute-point-based timing formal verification of application specific integrated circuit (ASIC) and system on chip (SoC) designs. A target circuit design having a first set of netlists and timing constraints is received. A plurality of key clock-pin-net-load-setting attributes are extracted from the first ported netlists and timing constraints. The clock-pin-net-load-setting attribute mismatch in the result report is checked between the target circuit design and a golden circuit design by comparing the plurality of target attributes with a plurality of golden attributes of the golden circuit design after the target design database is loaded for static timing analysis (STA).
    Type: Grant
    Filed: March 1, 2023
    Date of Patent: February 13, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Chun Lo, Boh-Yi Huang, Chih-yuan Stephen Yu
  • Patent number: 11893331
    Abstract: A device verification method, a UVM verification platform, an electronic apparatus, and a storage medium are provided. The method includes: determining a transaction class corresponding to a device under test, and instantiating a first interface in a callback function; sending input data to the device under test based on a bus protocol, and sequentially adding the input data to an array of the first interface according to addresses of the input data; instantiating a second interface in a monitor device, and sequentially adding output data to an array of the second interface according to addresses of the output data; and comparing the input data and the output data that have same addresses in the array of the first interface and the array of the second interface, and outputting a verification result of the device under test according to a comparison result.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: February 6, 2024
    Assignee: INSPUR SUZHOU INTELLIGENT TECHNOLOGY CO., LTD.
    Inventor: Ying Wang
  • Patent number: 11853681
    Abstract: A method includes: identifying a first design rule check (DRC) violation in a cluster box on an integrated circuit layout; locating a first target cell at a first original location in the cluster box, the first target cell being connected to the first DRC violation; detecting a first plurality of candidate locations for the first target cell in the cluster box; calculating resource costs associated with the first plurality of candidate locations; determining a first relocation location, among the first plurality of candidate locations, associated with a minimum resource cost for the first target cell; and relocating the first target cell from the first original location to the first relocation location.
    Type: Grant
    Filed: April 16, 2021
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching Hsu, Heng-Yi Lin, Yi-Lin Chuang
  • Patent number: 11841619
    Abstract: A method for manufacturing a lithographic mask for an integrated circuit includes performing an optical proximity correction (OPC) process to an integrated circuit mask layout to produce a corrected mask layout. The method further includes performing an inverse lithographic technology (ILT) process to the corrected mask layout to enhance the corrected mask layout to produce an OPC-ILT-enhanced mask layout. The method also includes performing an inverse lithographic technology (ILT) process to the corrected mask layout to enhance the corrected mask layout to produce an OPC-ILT-enhanced mask layout.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: December 12, 2023
    Assignee: TAIWAN SEMINCONDUTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsu-Ting Huang, Tung-Chin Wu, Shih-Hsiang Lo, Chih-Ming Lai, Jue-Chin Yu, Ru-Gun Liu, Chin-Hsiang Lin
  • Patent number: 11816409
    Abstract: Embodiments relate to a system and method for analyzing strongly connected components (SCCs) in a design of an integrated circuit. In one embodiment, a design of an integrated circuit is received, and a set of loops are identified in the received design. Based on the identified loops, one or more SCCs are determined. Each SCC includes multiple loops having shared paths. For instance, an SCC includes a first loop having a first set of nodes connected via a first set of paths and a second loop having a second set of nodes connected via a second set of paths, such that the first loop and the second loop have at least one path in common. The identified SCCs are then analyzed and presented to the user for consideration when reviewing the design of the integrated circuit.
    Type: Grant
    Filed: October 11, 2021
    Date of Patent: November 14, 2023
    Assignee: Synopsys, Inc.
    Inventor: Ribhu Mittal
  • Patent number: 11789110
    Abstract: Systems and methods for fault detection, exclusion, isolation, and re-configuration of navigation sensors using an abstraction layer are provided. In certain embodiments, a system includes a plurality of sensors that provide redundant sensor measurements, wherein redundancy of the redundant sensor measurements is achieved based on an independence between measurements from different physical sensor units in the plurality of sensors. The system additionally includes a fusion function configured to receive the redundant sensor measurements from each sensor in the plurality of sensors and calculate fused navigation parameters. Further, the system includes an abstraction layer that calculates an estimated state based on the fused navigation parameters, wherein the estimated state comprises safety assessment information for the fused navigation parameters and the fused navigation parameters.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: October 17, 2023
    Assignee: Honeywell International Inc.
    Inventors: Mark A. Ahlbrecht, Mats Anders Brenner, Bruce G Johnson, Milos Sotak, Zdenek Kana, James Arthur McDonald
  • Patent number: 11789077
    Abstract: Disclosed herein are method, system, and storage-medium embodiments for single-pass diagnosis of multiple chain defects in circuit-design testing. Embodiments include processor(s) to select a plurality of a scan chains in a circuit under test and determine presence of at least a first defect in the first scan chain, and a second defect in the first scan chain or in the second scan chain. The plurality of scan chains may include specific scan chains that each have respective pluralities of scan cells. Processor(s) may map the first defect to a first range of first scan cells, and the second defect to a second range of second scan cells. Based at least in part on a failing capture-pattern set, processor(s) may locate the first defect in a first scan cell of the first range, and the second defect in a second scan cell of the first range or the second range.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: October 17, 2023
    Assignee: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Patent number: 11775269
    Abstract: A multi-threaded imperative programming language includes a language construct defining a function call. A circuit implementation for the construct includes a first pipeline, a second pipeline, and a third pipeline. The first hardware pipeline outputs variables to a first queue and outputs parameters for the function to a second queue. The second hardware pipeline obtains the function parameters from the second queue, performs the function, and stores the results of the function in a third queue. The third hardware pipeline retrieves the results generated by the second pipeline from the second queue and retrieves the variables from the first queue. The third hardware pipeline performs hardware operations specified by the source code using the variables and the results of the function. A single instance of the circuit implementation can be utilized to implement calls to the same function made from multiple locations within source code.
    Type: Grant
    Filed: February 3, 2022
    Date of Patent: October 3, 2023
    Assignee: MICROSOFT TECHNOLOGY LICENSING, LLC
    Inventors: Blake D. Pelton, Adrian Michael Caulfield
  • Patent number: 11768237
    Abstract: This document describes techniques and systems for leakage screening based on power prediction. In particular, the described systems and techniques estimate, during a silicon manufacturing process, use-case power (e.g., low power, ambient power, high power, gaming power) to apply leakage screening for apart (e.g., a chip package). In some aspects, measurable silicon parameters (e.g., leakage values, bin values, processor sensor values) may be used for use-case power prediction. Using the described techniques, a maximum allowable predicted use-case power can be determined and used for leakage screening regardless of an individual rail leakage or voltage bin assignment.
    Type: Grant
    Filed: May 12, 2022
    Date of Patent: September 26, 2023
    Assignee: Google LLC
    Inventors: Emre Tuncer, Kaushik Balamukundhan, Yiran Li
  • Patent number: 11755801
    Abstract: Implementing a circuit design within an integrated circuit can include converting the circuit design, specified in a hardware description language, into a data flow graph and creating range set data structures in a memory. The range set data structures correspond to nodes of the data flow graph. Each range set data structure can be initialized with a range of values the corresponding node can take as specified by the circuit design. The method can include determining actual values the nodes are capable of taking by propagating the values through the data flow graph. The range set data structures are updated to store the actual values for the corresponding nodes. The method also can include modifying a selected node of the data flow graph based on the actual values stored in the range set data structure of the selected node and semantics of the selected node.
    Type: Grant
    Filed: November 30, 2020
    Date of Patent: September 12, 2023
    Assignee: Xilinx, Inc.
    Inventors: Kishore Vedavyasan, Sumanta Datta, Aman Gayasen, Sriram Govindarajan
  • Patent number: 11748536
    Abstract: Systems and methods are disclosed for automated generation of integrated circuit designs and associated data. These allow the design of processors and SoCs by a single, non-expert who understands high-level requirements; allow the en masse exploration of the design-space through the generation processors across the design-space via simulation, or emulation; allow the easy integration of IP cores from multiple third parties into an SoC; allow for delivery of a multi-tenant service for producing processors and SoCs that are customized while also being pre-verified and delivered with a complete set of developer tools, documentation and related outputs. Some embodiments, provide direct delivery, or delivery into a cloud hosting environment, of finished integrated circuits embodying the processors and SoCs.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: September 5, 2023
    Assignee: SiFive, Inc.
    Inventors: Yunsup Lee, Michael Cave
  • Patent number: 11748553
    Abstract: A system performs mask rule checks (MRC) for curvilinear shapes. The width of a curvilinear shape is different along different parts of the shape. A medial axis for a curvilinear shape is determined. The medial axis is trimmed to exclude portions that are within a threshold distance from corners or too far from edges. The trimmed medial axis is used to perform width checks for mask rules. The system generates medial axis between geometric shapes and uses it to determine whether two geometric shapes are at least a threshold distance apart. The system performs acute angle checks for sharp corners. The system determines angles using lines drawn from vertices to end points on the boundary of the shape that are at a threshold distance. These angles are used for checking acute angle mask rule violations.
    Type: Grant
    Filed: December 23, 2022
    Date of Patent: September 5, 2023
    Assignee: Synopsys, Inc.
    Inventor: Thomas Christopher Cecil
  • Patent number: 11720720
    Abstract: A method of automatically generating AutoCAD drawings includes: generating a data sheet by using only input data which is necessary for generating drawings of a heat exchanger and obtained from strength calculation data provided by a strength calculation program; loading the data sheet by a loading unit; and generating AutoCAD drawings of the heat exchanger by activating an automatic AutoCAD drawing generation interface by a user's selection, the automatic AutoCAD drawing generation interface being activatable only after the data sheet may be loaded, wherein the input data includes both machine data and thermal data.
    Type: Grant
    Filed: July 11, 2022
    Date of Patent: August 8, 2023
    Assignees: SAMSUNG ENGINEERING CO., LTD., TAE WOOG KANG
    Inventors: Gyun Ho Ha, Nae Hyuck Lee, Jong In Yoon, Jin Kim, Geun Yong Choi, Sung Mo Park, Ji Yoon Hyun, Hu Jung Nam, Jun Soo Park, Byueong Kook Cheo, Dae Seong Kim
  • Patent number: 11714943
    Abstract: A parallel analog circuit automatic optimization method based on genetic algorithm and machine learning comprises global optimization based on genetic algorithm and local optimization based on machine learning, with the global optimization and the local optimization performed alternately. The global optimization based on genetic algorithm utilizes parallel SPICE simulations to improve the optimization efficiency while guaranteeing the optimization accuracy, combined with parallel computing. The local optimization based on machine learning establishes a machine learning model near the global optimal point obtained by the global optimization, and uses the machine learning model to replace the SPICE simulator, thus reducing the time costs brought by a large number of simulations.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: August 1, 2023
    Assignee: SHAN DONG UNIVERSITY
    Inventors: Ranran Zhou, Yaping Li, Yong Wang, Yusong Li, Xuezheng Huang, Juanjuan Sun
  • Patent number: 11709982
    Abstract: The present invention pertains to a method of verifying a design of an integrated circuit. The methods executes an iteration of simulation test cycle using a digital representation of the design. Next, the method obtains simulation results from the iteration of the simulation test cycle and calculates, during the simulation test cycle, a test coverage value associated with the simulation results of the iteration of the simulation test cycle. If the test coverage value is less than a target value, the method determines if the simulation test cycle fails to satisfies an iteration limiting metric. If the simulation test cycle satisfies the iteration limiting metric, the method, dynamically adjusts one or more simulation test cycle parameter during the simulation test cycle and iterates the simulation test cycle and recalculating the test coverage value until the test coverage value is at least the target value or the simulation test cycle fails to satisfy the iteration limiting metric.
    Type: Grant
    Filed: September 30, 2021
    Date of Patent: July 25, 2023
    Assignee: Kioxia Corporation
    Inventors: Duncan Beadnell, Francesco Forte
  • Patent number: 11663385
    Abstract: Methods and systems for verifying, via formal verification, a hardware design for a data transformation pipeline comprising one or more data transformation elements that perform a data transformation on one or more inputs, wherein the formal verification is performed under conditions that simplify the data transformations calculations that the formal verification tool has to perform.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: May 30, 2023
    Assignee: Imagination Technologies Limited
    Inventor: Sam Elliott
  • Patent number: 11636244
    Abstract: Some aspects of this disclosure are directed automated performance tuning of a hardware description language (HDL) simulation system. For example, some aspects of this disclosure relate to a method, including generating, by a first subsystem optimizer, a plurality of recommendations corresponding to a first subsystem of a hardware description language (HDL) simulation system. The plurality of recommendations are generated by the first subsystem optimizer using one or more optimization applications. The method further includes generating, by the first subsystem optimizer, a first aggregate recommendation by combining the plurality of recommendations corresponding to the first subsystem of the HDL simulation system. The method further includes updating a configuration of the first subsystem of the HDL simulation system based on the first aggregate recommendation, wherein the HDL simulation system is configured to simulate a circuit design using the updated configuration during execution of the first subsystem.
    Type: Grant
    Filed: September 30, 2021
    Date of Patent: April 25, 2023
    Assignee: SYNOPSYS, INC.
    Inventors: Badri Prasad Gopalan, Melvin Cardozo, Deepesh Puthiya-Purayil, Vamsi Krishna Doppalapudi, Trinanjan Chatterjee, Yichun Wang
  • Patent number: 11620423
    Abstract: Systems and methods are described herein for attribute-point-based timing formal verification of application specific integrated circuit (ASIC) and system on chip (SoC) designs. A target circuit design having a first set of netlists and timing constraints is received. A plurality of key clock-pin-net-load-setting attributes are extracted from the first ported netlists and timing constraints. The clock-pin-net-load-setting attribute mismatch in the result report is checked between the target circuit design and a golden circuit design by comparing the plurality of target attributes with a plurality of golden attributes of the golden circuit design after the target design database is loaded for static timing analysis (STA).
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: April 4, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Chun Lo, Boh-Yi Huang, Chih-yuan Stephen Yu
  • Patent number: 11580284
    Abstract: The present disclosure relates to a method for electronic circuit design. Embodiments may include receiving, using a processor, an electronic circuit design and performing a deadlock check on the electronic circuit design using a using a linear temporal logic property and a proof engine. Embodiments may further include analyzing a counterexample associated with the electronic circuit design for a loop escape condition, wherein analyzing includes proving a cover trace of a liveness obligation. If the loop escape condition is reachable from the counterexample, embodiments may include extracting one or more events associated with the loop escape condition and adding a waiver constraint to the deadlock check to force a no deadlock outcome.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: February 14, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Craig Franklin Deaton, Christopher William Komar, Lars Lundgren
  • Patent number: 11562521
    Abstract: A computer-implemented method for generating a machine-learned model to generate facial position data based on audio data comprising training a conditional variational autoencoder having an encoder and decoder. The training comprises receiving a set of training data items, each training data item comprising a facial position descriptor and an audio descriptor; processing one or more of the training data items using the encoder to obtain distribution parameters; sampling a latent vector from a latent space distribution based on the distribution parameters; processing the latent vector and the audio descriptor using the decoder to obtain a facial position output; calculating a loss value based at least in part on a comparison of the facial position output and the facial position descriptor of at least one of the one or more training data items; and updating parameters of the conditional variational autoencoder based at least in part on the calculated loss value.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: January 24, 2023
    Assignee: Electronic Arts Inc.
    Inventors: Jorge del Val Santos, Linus Gisslén, Martin Singh-Blom, Kristoffer Sjöö, Mattias Teye
  • Patent number: 11556676
    Abstract: A security verification system performs security verification of a circuit design. The security verification system simplifies formal security verification of the circuit design by replacing circuit blocks of the circuit with black box circuit blocks. The security verification system instruments the circuit design so that black-boxing can be performed for security verification without changing the security decision over the data paths. The security verification system uses dependence information of the inputs and outputs of the black box to connect inputs of the circuit block with outputs of the circuit block. The black-box circuit block keeps the logic inside the cone of influence of clocks and resets. The system performs security verification of the circuit design by proving a non-interference property of the instrumented circuit design.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: January 17, 2023
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Naiyong Jin, Sudipta Kundu
  • Patent number: 11552085
    Abstract: A semiconductor device includes at least one memory cell and at least one logic cell. The at least one logic cell is disposed next to the at least one memory cell and includes a plurality of fins. The plurality of fins are separated into a plurality of fin groups for forming transistors. A distance between two adjacent groups of the plurality of fin groups is different from a distance between another two adjacent groups of the plurality of fin groups. A method is also disclosed herein.
    Type: Grant
    Filed: September 28, 2020
    Date of Patent: January 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: You-Cheng Xiao, Jhih-Siang Hu, Ru-Yu Wang, Jung-Hsuan Chen, Ting-Wei Chiang
  • Patent number: 11531799
    Abstract: A hardware monitor arranged to assess performance of a hardware design for an integrated circuit to complete a task. The hardware monitor includes monitoring and counting logic configured to count a number of cycles between start and completion of the symbolic task in the hardware design; and property evaluation logic configured to evaluate one or more formal properties related to the counted number of cycles to assess the performance of the hardware design in completing the symbolic task. The hardware monitor may be used by a formal verification tool to exhaustively verify that the hardware design meets a desired performance goal and/or to exhaustively identify a performance metric (e.g. best case and/or worst case performance) with respect to completion of the task.
    Type: Grant
    Filed: February 24, 2021
    Date of Patent: December 20, 2022
    Assignee: Imagination Technologies Limited
    Inventors: Ashish Darbari, Iain Singleton
  • Patent number: 11526643
    Abstract: Formal verification methods are used to solve a valid model of a design-under-test (DUT) to enumerate valid coverage points based on an architectural specification of the DUT. A formal solver can be queried to solve for valid solutions by crossing one or more fields of a variable. After each valid solve, values of the variable fields can be recorded and a count for number of valid solutions can be incremented. A new rule can be added to the solving process after each valid solve to invalidate the recorded values of the variable fields for subsequent solves. The count for the number of valid solutions can provide a running total of the valid solutions found for the query. Results of the query can be processed to convert the recorded values to provide the enumerated coverage points. The enumerated coverage points can be converted to test cases for running simulations on the DUT.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: December 13, 2022
    Assignee: Amazon Technologies, Inc.
    Inventor: Todd Swanson
  • Patent number: 11520968
    Abstract: The present application discloses a verification platform for a system on chip and a verification method thereof, the method comprises: generating, by an Universal Verification Methodology test instance, constrained random parameters and random controls, and storing them to a storage area of a bus function model unit; reading, by a software test instance, the random parameters and the random controls through the central processing unit, and configuring a test of the system on chip; storing execution status information of the software test instance in the storage area; reading, by the Universal Verification Methodology test instance, the execution status information, and adjusting constraint condition for generating random parameters and random controls based on the execution status information to exclude having been tested scenarios, and converting the execution status information into coverage data for coverage analysis.
    Type: Grant
    Filed: June 30, 2021
    Date of Patent: December 6, 2022
    Assignee: MONTAGE LZ TECHNOLOGIES (Chengdu) Co., Ltd.
    Inventors: Huimin Mao, Shunlin Li
  • Patent number: 11507720
    Abstract: This disclosure relates to signal observability rating. In an example, a method can include propagating a clock signal through a respective module of a circuit design in a forward and backward direction, evaluating clock signal propagation results for the respective module based on a forward and backward clock signal propagation of the clock signal to compute an observability rating for a data signal to be processed by the respective module during formal verification, and updating a current observability rating of the respective property for the data signal to the computed observability rating.
    Type: Grant
    Filed: June 11, 2021
    Date of Patent: November 22, 2022
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Matheus Nogueira Fonseca, Thamara Karen Cunha Andrade, Lars Lundgren, Breno Guimaraes
  • Patent number: 11501049
    Abstract: The present disclosure relates to a computer-implemented method for use in an electronic design. Embodiments may include performing, using a processor, a simulation of a multi-layered electronic structure and extracting a circuit model of the multi-layered electronic structure, wherein the circuit model includes at least two plates. Embodiments may also include extracting one or more parasitic parameters of at least one via associated with the circuit model and calculating a coupling coefficient associated with a controlled source of the circuit model. Embodiments may further include extracting a transmission line mode from the circuit model and linking the circuit model, at least one via, and the transmission line mode to an external circuit to generate a modeled system. Embodiments may also include solving the modeled system using a modified nodal analysis.
    Type: Grant
    Filed: September 28, 2018
    Date of Patent: November 15, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Feng Miao, Jing Wang, Zhen Mu, Xuegang Zeng
  • Patent number: 11501048
    Abstract: A machine learning model predicts the hardness of unsolved properties. For example, the machine learning model may predict the relative hardness of pairs of properties—i.e., which property in the pair is harder to solve. These hardness predictions may then be used to formulate a priority order for a formal verification process to attempt to solve the unsolved properties. As the formal verification process progresses, it generates results. For example, certain properties may be solved. These results are used to update a training set, which is used to further train the machine learning model. The machine learning model is trained at runtime with incremental fine-tuning as the formal verification process progresses.
    Type: Grant
    Filed: July 12, 2018
    Date of Patent: November 15, 2022
    Assignee: Synopsys, Inc.
    Inventors: Arunava Saha, Chuan Jiang, Manish Pandey
  • Patent number: 11500644
    Abstract: An extensible processor can include an execution pipeline, one or more extensible control engines and architectural visible control states. The extensible processor can be configured to determine a control state of the one or more extensible control engines from the architectural visible control states. The extensible processor can be further configured to initiate execution of a given one of the extensible control engines when a control state in the architectural visible control states corresponding to the given one of the extensible control engines is enabled, wherein the given one of the extensible control engines comprises control input and control outputs based on one or more control transitions of an instruction. The extensible processor can also be further configured to output a result of execution of the given one of the extensible control engines to the architectural visible control states.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: November 15, 2022
    Assignee: Alibaba Group Holding Limited
    Inventor: Fei Sun
  • Patent number: 11503027
    Abstract: A technique to manage a configuration database (CDB) for a network device is disclosed. Network devices may receive a configuration change request as a configuration change object. To process that request, a current configuration CLI set representative of the current CDB may be generated. The network device creates a shadow CDB initially corresponding to the current CDB and processes the change request against the shadow CDB. An updated configuration CLI set may then be generated from the updated shadow CDB. A differential CLI set indicating the difference between the first CLI set and the second CLI set may be generated to represent a set of CLI commands to transition from one CDB to the other (e.g., implement the request). Authorization of the user to execute the CLI commands of the differential CLI dataset may be verified. Upon verification, the current CDB may be replaced with the updated shadow CDB.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: November 15, 2022
    Assignee: Hewlett Packard Enterprise Development LP
    Inventors: Michael Zayats, Sagar Bhanagay, Hitesh Padekar
  • Patent number: 11455450
    Abstract: Embodiments include herein are directed towards a method for dynamic voltage and frequency scaling (DVFS) based timing signoff associated with an electronic design environment. Embodiments may include receiving, using a processor, an electronic design and specifying, via a graphical user interface, a voltage sweep for each power net associated with the electronic design. Embodiments may further include specifying, via the graphical user interface, at least one voltage sweep to be excluded from analysis. Embodiments may also include automatically generating DVFS configurations based upon, at least in part, the voltage sweep for each power net and the at least one voltage sweep to be excluded from analysis.
    Type: Grant
    Filed: June 3, 2021
    Date of Patent: September 27, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sushobhit Singh, Arvind Nembili Veeravalli, Naresh Kumar, Beenish, Mahesh Diwakar Sadhankar, Ankit Sethi
  • Patent number: 11449575
    Abstract: A solution search device includes a variable value calculation circuit provided for each variable in a conjunctive-normal-form logical expression of an instance of the Boolean Satisfiability problem (SAT) and calculates a value of the variable; and a notification path that notifies another variable value calculation circuit of the value, in which each of the variable value calculation circuit includes a positive-side variable value calculation circuit that calculates a value of a target variable making all clauses including a target variable having no negation among clauses of the logical expression be true, a negative-side variable value calculation circuit that calculates the value of the target variable making all clauses including a target variable having negation among clauses of the logical expression be true, and a current value calculation circuit that calculates the value of the target variable based on the value of the target variable calculated by the positive- side variable value calculation circu
    Type: Grant
    Filed: July 19, 2018
    Date of Patent: September 20, 2022
    Assignee: National University Corporation Yokohama National University
    Inventors: Naoki Takeuchi, Masashi Aono
  • Patent number: 11443097
    Abstract: A system and method for fixing DRC violations includes receiving a layout pattern having a design rule check (DRC) violation therein, determining that the layout pattern is an inlier based upon a comparison of the layout pattern with a plurality of previously analyzed layout patterns. The comparison may be performed by an anomaly detection algorithm. The system and method may also include selecting a recipe from a pool of recipes previously applied to the plurality of previously analyzed layout patterns for fixing the DRC violation in the layout clip upon determining that the layout pattern is an inlier.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: September 13, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Yu-Chen Huang, Heng-Yi Lin, Yi-Lin Chuang
  • Patent number: 11429773
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing an electronic design using connect modules with dynamic and interactive control. An electronic design comprising a signal propagating across a boundary between a digital domain and an analog domain may be identified, and an analysis may be initiated for the electronic design. A connect module framework may provision for one or more dynamically placed objects in the electronic design. An internal characteristic of the one or more dynamically placed objects may be accessed with the connect module framework.
    Type: Grant
    Filed: December 30, 2020
    Date of Patent: August 30, 2022
    Inventor: Evgeny Vlasov
  • Patent number: 11416022
    Abstract: In an embodiment a device includes a first circuit configured to send a signal comprising numbers successively separated by a constant value to at least one second circuit, each second circuit being in a clock domain different from a clock domain of the first circuit and at least one third circuit configured to determine whether the successive numbers of the signal received by the second circuit are separated by the constant value, wherein the signal is sent to a respective third circuit in each of the clock domains different from the clock domain of the first circuit.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: August 16, 2022
    Assignee: STMICROELECTRONICS (GRENOBLE 2) SAS
    Inventors: Stephane Martin, Denis Dutey
  • Patent number: 11403077
    Abstract: A method for preparing block diagrams having one or more blocks for code generation in a computing environment comprising a model editor, a data definition tool and a code generator. The block diagram is opened in the model editor, wherein a first block is a hierarchical block comprising a plurality of subordinate blocks, at least one input port and at least one output port connected by signals. Minimum values and maximum values are received for the input and output ports, determining scaling parameters for the input and output ports based on the received minimum and maximum values. Scaling parameters are determined for each subordinate block in the first block, wherein the scaling parameters of at least one subordinate block are determined based on the scaling parameters of at least one output port. Also, a method for generating program code, a non-transitory computer readable medium and a computer system are provided.
    Type: Grant
    Filed: August 3, 2020
    Date of Patent: August 2, 2022
    Assignee: dSPACE GmbH
    Inventors: Johannes Scherle, Anders Johansson, Olaf Grajetzky
  • Patent number: 11385777
    Abstract: A user interface (UI) mapper for robotic process automation (RPA) is disclosed. The UI mapper may initially capture UI elements to fetch UI elements faster for later use and allow an RPA developer to “map” the UI elements for automating an application. This may enable subsequent developers who potentially do not have programming knowledge to build RPA workflows using these predefined “target” UI elements.
    Type: Grant
    Filed: September 14, 2020
    Date of Patent: July 12, 2022
    Assignee: UiPath, Inc.
    Inventors: Mircea Grigore, Cosmin Voicu
  • Patent number: 11381647
    Abstract: The disclosed technology is generally directed to communications in an IoT environment. In one example of the technology, device twins for corresponding IoT devices are stored, wherein the device twins include metadata that is associated with the corresponding IoT devices. A schedule job instruction may be received, and at least one candidate IoT device among the IoT devices that is associated with the schedule job instruction may be identified. In some examples, executors associated with the at least one candidate IoT device are created, and jobs are executed via the executors such that the executors are capable of resuming execution after at least one of an outage or a failure.
    Type: Grant
    Filed: May 24, 2021
    Date of Patent: July 5, 2022
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: Juan Perez, Affan Dar, Simon Porter
  • Patent number: 11361133
    Abstract: Methods and apparatus for implementing a programmable integrated circuit using circuit design tools are provided. The circuit design tools may receive a high-level synthesis source code, parse the high-level synthesis source code to generate a compiler intermediate representation, process the compiler intermediate representation to generate a register transfer level (RTL) description, and then synthesize and compile the RTL description to generate an output netlist. Timing analysis may be performed on the output netlist to identify a critical path. Components in the critical path may be mapped back to specific portions in the RTL descriptions, to specification portions of the compiler intermediate representation, and to specific lines in the high-level synthesis source code. The designer can then optimize the high-level synthesis source code to shorten the critical path. This process may be iterated as many times as desired.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: June 14, 2022
    Assignee: Intel Corporation
    Inventor: Dmitry N. Denisenko
  • Patent number: 11347913
    Abstract: A method of reconstructing an emulated circuit layout for graphical display includes receiving a pre-layout circuit including one or more devices and one or more nodes. The method includes generating a Detailed Standard Parasitic Format (DPSF) netlist representing a post-layout circuit. The DPSF netlist includes a plurality of instances representing the one or more devices, the one or more nodes, and one or more parasitic elements not included in the pre-layout circuit. The method includes identifying at least one node of the one or more nodes that is associated with the one or more parasitic elements. The method includes updating the DPSF netlist to associate the one or more parasitic elements with the at least one node. The method includes constructing graphical representation of the post-layout circuit based on the updated DPSF netlist. The method includes causing a display device to display the graphical representation.
    Type: Grant
    Filed: March 19, 2021
    Date of Patent: May 31, 2022
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Yanfei Shen, Qingyu Lin, Patrick O'Halloran
  • Patent number: 11343110
    Abstract: A method to report a phantom object for a structure in a power-and-ground (PG) router is disclosed. The method includes generating the structure of a PG network based on a spec received as input, identifying a violation of a design rule for the structure, and changing the structure to remove the violation of the design rule. The method further includes generating a report of the violation and the changing, generating a phantom object based on the changing, and outputting the report and the phantom object.
    Type: Grant
    Filed: January 31, 2020
    Date of Patent: May 24, 2022
    Assignee: Synopsys, Inc.
    Inventors: Cheng-Hsiang Tsai, Yi-Min Jiang, Xiang Qiu
  • Patent number: 11334701
    Abstract: Disclosed examples include methods for verifying mixed-signal circuit design, in which an executable specification file is generated including integration abstractions that represent an intended integration of ports and digital circuit blocks of the mixed-signal design, a formal properties file is automatically generated from the executable specification file, an analog circuit component of the mixed-signal circuit design is modeled as a digital circuit component in a model file, at least one analog circuit block of the mixed-signal circuit design is modeled as one or more ports in the model file, and correspondence of connections of the formal properties file and the model file is verified with the mixed-signal circuit design to generate a coverage report file.
    Type: Grant
    Filed: February 5, 2021
    Date of Patent: May 17, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Sudhakar Surendran
  • Patent number: 11334700
    Abstract: A simulation application can be executed by a computer system to develop thermal maps for an electronic architectural design. The simulation application can simulate the electronic architectural design over time. The simulation application can capture electronic signals from the electronic architectural design as the electronic architectural design is being simulated over time. The simulation application can determine power consumptions of the electronic architectural design over time from the electronic signals. The simulation application can derive temperatures of the electronic architectural design over time from the power consumptions. The simulation application can map the temperatures onto an electronic circuit design real estate of the electronic architectural design to develop the thermal maps over time.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: May 17, 2022
    Assignee: Synopsys, Inc.
    Inventors: Alexander John Wakefield, Jitendra Kumar Gupta
  • Patent number: 11321507
    Abstract: A computer executable system that runs symbolic simulation with formal X-analysis along with logic simulation to determine if Xs produced in logic simulation are real or not. Simulated values in logic simulation shown to be incorrect are rectified using formal analysis results to produce X-accurate simulation results that match real hardware.
    Type: Grant
    Filed: April 13, 2020
    Date of Patent: May 3, 2022
    Assignee: Avery Design Systems, Inc.
    Inventor: Kai-Hui Chang
  • Patent number: 11295051
    Abstract: The present disclosure relates to system(s) and method(s) for interactively controlling the course of a functional simulation of DUV/SUV. The system comprises a testbench and the DUV/SUV connected to the testbench. The testbench generates a set of input data/packets as a stimulus to be processed by the DUV/SUV. The set of input data/packets is generated to simulate and verify the DUV/SUV. Further, the testbench identifies a pre-defined event at runtime during the simulation. Upon identification of the event, the testbench is configured to pause the simulation and transmit a notification message to a user indicating the occurrence of the event. Further, the testbench waits for a pre-defined time interval to receive one or more user inputs. The testbench further generates new stimulus based on the one or more user inputs and resumes the paused simulation with the new stimulus, thereby controlling the course of the functional simulation.
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: April 5, 2022
    Assignee: HCL Technologies Limited
    Inventors: Manickam Muthiah, Jabeer Ahamed Mohammed Nowshath, Sathish Kumar Krishnamoorthy
  • Patent number: 11295057
    Abstract: A corner prediction system applies data generated through discrete process, voltage, and temperature (PVT) corner prediction to achieve highly accurate continuous corner prediction coverage. Embodiments of the corner prediction system can be trained to generate accurate performance metric prediction for a continuous range of PVT corners within a design space given a set of available pre-trained PVT corners. The corner prediction system can address the need to provide accurate continuous timing prediction coverage of design operating conditions (represented by PVT corners) through the availability of discrete PVT corners.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: April 5, 2022
    Assignee: Apex Semiconductor
    Inventors: Minkyu Kim, Alfred Yeung, Pingchun Chiang, Suresh Subramaniam, Pravin Chingudi
  • Patent number: 11288427
    Abstract: Disclosed herein are system, method, and computer-readable storage device embodiments for implementing automated root-cause analysis for static verification. An embodiment includes a system with memory and processor(s) configured to receive a report comprising violations and debug fields, and accept a selection of a seed debug field from among the plurality of debug fields. Clone violations may be generated by calculating an overlay of a given violation of the violations and a seed debug field, yielding possible values for a subset of debug fields. A clone violation may be created for a combination of the at least two second debug fields, populating a projection matrix, which may be used to map violations and clone violations to corresponding numerical values in the projection matrix and determine a violation cluster based on the mapping having corresponding numerical values and score(s) satisfying a threshold, via ML. Clustering may further be used to generate visualizations.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: March 29, 2022
    Assignee: Synopsys, Inc.
    Inventors: Sauresh Bhowmick, Sanjay Gulati, Sourasis Das, Bhaskar Pal, Rajarshi Mukherjee
  • Patent number: 11281832
    Abstract: A device for verifying a circuit design including a first circuit block and a second circuit block includes a verification vector generator and a design verifier. The verification vector generator determines a first verification vector by performing reinforcement learning through neural network computation based on a coverage corresponding to a first test vector, the coverage being determined based on a state transition of the first circuit block generated by inputting the first test vector to the first circuit block. The design verifier performs design verification for the first circuit block by using the first verification vector.
    Type: Grant
    Filed: February 12, 2020
    Date of Patent: March 22, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: In Huh, Jeong-hoon Ko, Hyo-jin Choi, Seung-ju Kim, Chang-wook Jeong, Joon-wan Chai, Kwang-il Park, Youn-sik Park, Hyun-sun Park, Young-min Oh, Jun-haeng Lee, Tae-ho Lee
  • Patent number: 11270038
    Abstract: Systems and methods for detecting design redundancies and conflicts of a product or process are disclosed in the present application. A system for detecting redundancies and conflicts may parse a plurality of descriptions of functions to identify objects, verbs, and modifiers corresponding to descriptions of the plurality of descriptions. The system may determine lexical relationships between the identified objects, verbs, and modifiers based on lexical relationships. The system may determine dependencies of hierarchal relationships within the function model, and detect redundancies and conflicts based on the lexical relationships and the hierarchal relationships.
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: March 8, 2022
    Assignee: Shainin II LLC
    Inventors: Craig Hysong, Matthew Peterson, Volodymyr Korotun, Rylan Sharpe, Sergio Lizarraga