Patents Represented by Attorney Janah & Associates P.C.
  • Patent number: 7808651
    Abstract: An endpoint detection system for detecting an endpoint of a process comprises a polychromatic light source which emits polychromatic light. The light is reflected from a substrate. A light wavelength selector receives the reflected polychromatic light and determines a wavelength of light at which a local intensity of the reflected light is maximized during the process. In one version, the wavelength selector comprises a diffraction grating to generate a plurality of light beams having different wavelengths from the reflected polychromatic light and a light detector to receive the light beams having different wavelengths and generate an intensity signal trace of the intensity of each wavelength of the polychromatic reflected light.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lei Lian, Matthew F Davis
  • Patent number: 7800075
    Abstract: A multifunction module for an electron beam column comprises upper and lower electrodes, and a central ring electrode. The upper and lower electrodes have multipoles and are capable of deflecting, or correcting an aberration of, an electron beam passing through the electrodes. A voltage can be applied to the central ring electrode independently of the voltages applied to the upper and lower electrodes to focus the electron beam on a substrate.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: September 21, 2010
    Inventors: Benyamin Buller, William J. DeVore, Juergen Frosien, Xinrong Jiang, Richard L. Lozes, Henry Thomas Pearce-Percy, Dieter Winkler, Steven T. Coyle, Helmut Banzhof
  • Patent number: 7780833
    Abstract: An electrochemical cell 102 comprises an ion exchange membrane 10 having anion and cation exchange materials. The membrane 10 can have separate anion and cation exchange layers 12, 14 that define a heterogeneous water-splitting interface therebetween. In one version, the membrane 10 has a textured surface having a pattern of texture features 26 comprising spaced apart peaks 28 and valleys 30. The membranes 10 can also have an integral spacer 80. A cartridge 100 can be fabricated with a plurality of the membranes 10 for insertion in a housing 129 of the electrochemical cell 102. The housing 129 can also have a detachable lid 96 that fits on the cartridge 100. The electrochemical cell 102 can be part of an ion controlling apparatus 120.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: August 24, 2010
    Inventors: John Hawkins, Eric Nyberg, George Kayser, James Crawford Holmes, Paul Schoenstein, Ashok Janah
  • Patent number: 7768765
    Abstract: A support for a substrate processing chamber comprises a fluid circulating reservoir comprising a channel having serpentine convolutions. A fluid inlet supplies a heat transfer fluid to the fluid circulating reservoir and a fluid outlet discharges the heat transfer fluid. In one version, the channel is doubled over to turn back upon itself.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: August 3, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Nguyen, Wing Lau Cheng, Hiroji Hanawa, Semyon L. Kats, Kartik Ramaswamy, Yan Ye, Kwok Manus Wong, Daniel J. Hoffman, Tetsuya Ishikawa, Brian C. Lue
  • Patent number: 7762114
    Abstract: A method of fabricating a component for a substrate processing chamber involves providing a preform having internal and external surfaces, and providing a mandrel having a textured surface with a pattern of textured features comprising protrusions and depressions. The internal surface of the preform component is contacted with the textured surface of mandrel, and a pressure is applied to the external surface of the preform. The pressure is sufficiently high to plastically deform the preform over the textured surface of the mandrel to form a component having a textured internal surface comprising the pattern of textured feature that are shaped and sized to adhere process residues generated in the processing of substrates.
    Type: Grant
    Filed: September 9, 2005
    Date of Patent: July 27, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Abney, Anthony Vesci, Joseph F. Sommers, Marc O'Donnell Schweitzer, Scott Dickerson, Jennifer Watia Tiller
  • Patent number: 7758763
    Abstract: A substrate comprising a resist layer overlying a dielectric feature, is processed in a substrate processing chamber comprising an antenna, and first and second process electrodes. A process gas comprising CO2 is introduced into the chamber. The process gas is energized to form a plasma by applying a source voltage to the antenna, and by applying to the electrodes, a first bias voltage having a first frequency of at least about 10 MHz and a second bias voltage having a second frequency of less than about 4 MHz. The ratio of the power level of the first bias voltage to the second bias voltage is sufficient to obtain an edge facet height of the underlying dielectric feature that is at least about 10% of the height of the dielectric feature.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: July 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Siyi Li, Terry Leung, Michael D. Armacost
  • Patent number: 7695982
    Abstract: A wafer comprising a low-k dielectric layer is refurbished for reuse. Initially, a removable layer is provided on the wafer. The low-k dielectric layer is formed over the removable layer. The overlying low-k dielectric layer is removed from the wafer by etching away the removable layer by at least partially immersing the wafer in an etching solution. Thereafter, another low-k dielectric layer can be formed over another removable layer.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: April 13, 2010
    Assignee: Applied Matreials, Inc.
    Inventors: Hong Wang, Krishna Vepa, Paul V. Miller
  • Patent number: 7697260
    Abstract: An electrostatic chuck is capable of attachment to a pedestal in a process chamber. The chuck has an electrostatic puck comprises a ceramic body with an embedded electrode. The ceramic body has a substrate support surface with an annular periphery. The chuck also has a base plate below the electrostatic puck that is a composite of a ceramic material and a metal. The base plate has an annular flange extending beyond the periphery of the ceramic body. The base plate and electrostatic puck can be supported by a support pedestal having a housing and an annular ledge that extends outwardly from the housing to attach to the annular flange of the base plate. A heat transfer plate having an embedded heat transfer fluid channel can also be provided.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Karl Brown, Nora Arellano, Semyon Sherstinsky, Allen Lau, Cheng-Hsiung Tsai, Vineet Mehta, Steve Sansoni, Wei W. Wang
  • Patent number: 7670436
    Abstract: A substrate ring assembly is provided for a substrate support having a peripheral edge. The assembly has an annular band having an inner perimeter that surrounds and at least partially covers the peripheral edge of the substrate support. The assembly also has a clamp to secure the annular band to the peripheral edge of the substrate support.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Keith A. Miller, Ilya Lavitsky
  • Patent number: 7672110
    Abstract: An electrostatic chuck has an electrode embedded in a dielectric which is mounted on a pedestal. The dielectric has a contact surface with an average surface roughness of less than about 0.5 ?m, a surface peak waviness of less than about 0.12 ?m, and a surface peak waviness material ratio of greater than about 20%. The surface texture can be formed by lapping the dielectric surface with a slurry of abrasive particles.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, James Dempster, Semyon L. Kats, Allen Fox
  • Patent number: 7659206
    Abstract: A method of treating a substrate comprises depositing silicon oxycarbide on the substrate and removing the silicon oxycarbide from the substrate. The silicon oxycarbide on the substrate is decarbonized by exposure to an energized oxygen-containing gas that heats the substrate and converts the layer of silicon oxycarbide into a layer of silicon oxide. The silicon oxide is removed by exposure to a plasma of fluorine-containing process gas. Alternatively, the remaining silicon oxide can be removed by a fluorine-containing acidic bath. In yet another version, a plasma of a fluorine-containing gas and an oxygen-containing gas is energized to remove the silicon oxycarbide from the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Venkata Balagani
  • Patent number: 7655316
    Abstract: A cleaning wafer cleans process residues from a support surface used in the processing of a substrate in an energized gas. The cleaning wafer has a disc having a liquid precursor derived polyimide layer formed directly on the disc by applying a liquid polyimide precursor to the disc. The polyimide layer has a thickness of less than about 50 microns, and a cleaning surface shaped to match a contour of the support surface. Process residues adhere to the cleaning surface and are cleaned from the support surface upon removal of the cleaning wafer therefrom.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: February 2, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Vijay D. Parkhe
  • Patent number: 7657390
    Abstract: Test substrates used to test semiconductor fabrication tools are reclaimed by reading from a database the process steps performed on each test substrate and selecting a reclamation process from a plurality of reclamation processes. The reclamation process can include crystal lattice defect or metallic contaminant reduction treatments for reclaiming each test substrate. Each test substrate is sorted and placed into a group of test substrates having a common defect or contaminant reduction treatment assigned to the test substrates of the group. Additional features are described and claimed.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: February 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Hong Wang
  • Patent number: 7652774
    Abstract: In determining an endpoint of etching a substrate, light that is directed toward the substrate is reflected from the substrate. A wavelength of the light is selected to locally maximize the intensity of the reflected light at an initial time point of the etching process. The reflected light is detected to determine an endpoint of the substrate etching process.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lei Lian, Matthew F Davis
  • Patent number: 7632419
    Abstract: Apparatus for in-situ monitoring of a process in a semiconductor wafer processing system consists of a process chamber having a dome, an enclosure disposed above the chamber, a process monitoring assembly positioned proximate the dome, an opening in the dome, and a window covering the opening. A portion of the apparatus supports the process monitoring assembly to establish a line-of-sight propagation path of monitoring beams from above the dome, through the window to the substrate to facilitate etch depth measurement without encountering interference from high power energy sources proximate the chamber. A method of fabricating a process monitoring apparatus consists of the steps of boring an opening into a dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight propagation path of monitoring beams from the process monitoring assembly to a wafer, and covering the opening with a window.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: December 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael Grimbergen, Shaoher X. Pan
  • Patent number: 7618769
    Abstract: A method of fabricating a process chamber component having a textured surface includes applying a resist layer on an underlying surface of the component. A predetermined pattern of apertures is formed in the resist layer that exposes the underlying surface of the component. The underlying surface is etched through the apertures in the resist layer to form a textured surface having raised features with top corners. The resist layer is removed, and a treatment step is performed to form top corners that are rounded. In another method, the textured surface is formed by providing a patterned mask having apertures above the surface of the component. A material is sprayed through the apertures in the patterned mask and onto the surface to form a textured surface with raised features having the material.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: November 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Karl Brueckner, Brian West, Hong Wang
  • Patent number: 7604708
    Abstract: A substrate cleaning apparatus has a remote source to remotely energize a hydrogen-containing gas to form an energized gas having a first ratio of ionic hydrogen-containing species to radical hydrogen-containing species. The apparatus has a process chamber with a substrate support, an ion filter to filter the remotely energized gas to form a filtered energized gas having a second ratio of ionic hydrogen-containing species to radical hydrogen-containing species, the second ratio being different than the first ratio, and a gas distributor to introduce the filtered energized gas into the chamber.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: October 20, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Bingxi Sun Wood, Mark N. Kawaguchi, James S. Papanu, Roderick C. Mosely, Chiukun Steven Lai, Chien-Teh Kao, Hua Ai, Wei W. Wang
  • Patent number: 7589950
    Abstract: A detachable electrostatic chuck is capable of being attached to a pedestal in a process chamber. The chuck comprises an electrostatic puck having a ceramic body with an embedded electrode. The chuck also has a baseplate below the electrostatic puck with a lower surface which is bonded to a sealing assembly comprising a sealing plate and sealing ring. The sealing plate and ring are polished to form a gas-tight seal between the chuck and pedestal to prevent gas leakage from or into this region.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: September 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D. Parkhe, Cheng-Tsiung Tsai, Steven V. Sansoni
  • Patent number: 7579067
    Abstract: A substrate processing chamber component is capable of being exposed to an energized gas in a process chamber. The component has an underlying structure and first and second coating layers. The first coating layer is formed over the underlying structure, and has a first surface with an average surface roughness of less than about 25 micrometers. The second coating layer is formed over the first coating layer, and has a second surface with an average surface roughness of at least about 50 micrometers. Process residues can adhere to the surface of the second coating layer to reduce the contamination of processed substrates.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: August 25, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yixing Lin, Dajiang Xu, Clifford Stow
  • Patent number: 7522822
    Abstract: A halogen lamp assembly 20 for a substrate processing chamber 100 has a halogen lamp 22 and a ceramic heat sink monolith 24. The halogen lamp 22 includes a filament 28 and a pair of electrical connectors 30 encapsulated in an envelope 26 having a pinch seal end 34. The ceramic heat sink monolith 24 includes a block 38 and an array of spaced apart posts 40 projecting outwardly from the block 38. The block 38 includes a cavity 42 that has a recessed inner surface 44 shaped to mate with the pinch seal end 34 of the lamp 22 and an opening that allows the electrical connectors 30 of the halogen lamp 22 to pass through.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: April 21, 2009
    Inventors: Robert Trujillo, Roger Anderson, David Carlson, Michael Hale