Patents Examined by George A. Goudreau
  • Patent number: 7074723
    Abstract: We have developed an uncomplicated method of plasma etching deeply recessed features such as deep trenches, of at least 5 ?m in depth, in a silicon-containing substrate, in a manner which generates smooth sidewalls, having a roughness of less than about 1 ?m, typically less than about 500 nm, and even more typically between about 100 nm and 20 nm. Features having a sidewall taper angle, relative to an underlying substrate, typically ranges from about 85° to about 92° and exhibiting the smooth sidewalls are produced by the method. In one embodiment, a stabilizing etchant species is used constantly during the plasma etch process, while at least one other etchant species and at least one polymer depositing species are applied intermittently, typically periodically, relative to each other. In another embodiment, the stabilizing etchant species is used constantly and a mixture of the other etchant species and polymer depositing species is used intermittently.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: July 11, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey D. Chinn, Michael Rattner, Nicholas Pornsin-Sirirak, Yanping Li
  • Patent number: 7074724
    Abstract: A method of anisotropiocally etching a semiconductive substrate uses a hydrofluorocarbon etch gas with an etch selectivity fluorocarbon gas. The fluorocarbon gas is used under conditions that enhance selectivity of the etch to an etch stop layer with respect to a bulk dielectric material such as doped or undoped silicon dioxide. In one method, a silicon dioxide dielectric layer is provided upon an etch stop layer, wherein the etch stop layer comprises silicon dioxide that is doped differently from the silicon dioxide dielectric layer. A gaseous etchant including a hydrofluorocarbon etch gas and a fluorocarbon selectivity compound is provided, and the silicon dioxide dielectric layer is exposed to the gaseous-etchant.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: July 11, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, David S. Becker
  • Patent number: 7074342
    Abstract: A method of manufacturing an optical crystal element of a laser device includes measuring an initial thickness of a crystal substrate formed of YAG or YVO4; introducing a mixture of a fluorine gas and an Ar gas having a ratio of the fluorine gas to the Ar gas in a range of 1:10 to 1:2 into a process chamber holding the crystal substrate; and generating ion beams of the mixture in the process chamber for etching a surface of the crystal substrate for a period time determined from an etching rate depending on the ratio of the mixture and the initial thickness of the crystal substrate. Thickness of the optical crystal element is controlled to a desired thickness. In the method, it is possible to produce the optical crystal element of a microchip laser having functions as a laser medium, a resonator and an etalon.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: July 11, 2006
    Assignee: Shimadzu Corporation
    Inventor: Ryo Tateno
  • Patent number: 7067434
    Abstract: The present invention pertains to forming a transistor in the absence of hydrogen, or in the presence of a significantly reduced amount of hydrogen. In this manner, a high-k material can be utilized to form a gate dielectric layer in the transistor and facilitate device scaling while mitigating defects that can be introduced into the high-k material by the presence of hydrogen and/or hydrogen containing compounds.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: June 27, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Luigi Colombo, James J. Chambers, Mark R. Visokay
  • Patent number: 7067430
    Abstract: A method of forming a silicon-germanium layer on an insulator includes depositing a layer of silicon-germanium on a silicon substrate to form a silicon/silicon-germanium portion; implanting hydrogen ions into the silicon substrate between about 500 ? to 1 ?m below a silicon-germanium/silicon interface; bonding the silicon/silicon-germanium portion to an insulator substrate to form a couplet; thermally annealing the couplet in a first thermal annealing step to split the couplet; patterning and etching the silicon-germanium-on-insulator portion to remove portions of the silicon and SiGe layers; etching the silicon-germanium-on-insulator portion to remove the remaining silicon layer; thermally annealing the silicon-germanium-on-insulator portion in a second annealing step to relaxed the SiGe layer; and depositing a layer of strained silicon about the SiGe layer.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: June 27, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-Shen Maa, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Patent number: 7064076
    Abstract: The subject invention pertains to a method and apparatus for etching copper (Cu). The subject invention can involve passing a halide gas over an area of Cu such that CuX, or CuX and CuX2, are formed, where X is the halide. Examples of halides which can be utilized with the subject matter include, but are not necessarily limited to, Cl, Br, F, and I. Once the CuX, or CuX and CuX2, are formed the subject invention can then involve passing a reducing gas over the area of Cu for a sufficient time to etch away at least a portion of the CuX, or CuX2, respectively. With respect to a specific embodiment in which CuX and CuX2 are produced when the halide gas is passed over the area of Cu, the reducing gas can be passed until essentially all of the CuX2 is etched and at least a portion of the CuX is etched. Examples of reducing gases which can be utilized with the subject invention include, but are not necessarily limited to, hydrogen gas and hydrogen gas plasma.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: June 20, 2006
    Inventor: Nagraj Kulkarni
  • Patent number: 7063091
    Abstract: A cleaning process for cleaning the surface of a substrate is disclosed, wherein the surface comprises portions of a dielectric material and portions of a conductive material. According to the method disclosed, the temperature at the surface of the substrate is kept below a predefined value during the actual cleaning step in a reactive and/or inert plasma ambient, such as an argon gas ambient, wherein the predefined value corresponds to the surface temperature at which agglomeration of the conductive material occurs.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Koschinsky, Volker Kahlert, Peter Huebler
  • Patent number: 7060628
    Abstract: A method for forming a patterned silicon-containing layer is disclosed. The method includes providing a substrate, providing a polysilicon layer on the substrate, providing a hard mask layer on the polysilicon layer, patterning and etching the hard mask layer and etching the polysilicon layer according to the pattern of the hard mask layer using a fluorine-containing etchant gas. The resulting sidewall profile of the etched polysilicon layer is substantially straight, uniform and devoid of a necking or notched configuration.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: June 13, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Jie Huang, Yuan-Hung Chiu, Hun-Jan Tao
  • Patent number: 7056832
    Abstract: A deep trench self-alignment process for an active area of a partial vertical cell. A semiconductor substrate with two deep trenches is provided. A deep trench capacitor is formed in each deep trench, and an isolating layer is formed thereon. Each trench is filled with a mask layer. A photoresist layer is formed on the semiconductor substrate between the deep trenches, and the photoresist layer partially covers the mask layer. The semiconductor substrate is etched lower than the isolating layer using the photoresist layer and the mask layer as masks. The photoresist layer and the mask layer are removed, such that the pillar semiconductor substrate between the deep trenches functions as an active area.
    Type: Grant
    Filed: July 18, 2003
    Date of Patent: June 6, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Ming-Cheng Chang, Yi-Nan Chen, Tse-Yao Huang
  • Patent number: 7052627
    Abstract: An etching solution which exhibits etching rates for both of a thermally oxidized film (THOX) and a boron-phosphorus-glass film (BPSG) of 100 ?/min or less at 25° C., and an etching rate ratio: etching rate for BPSG/etching rate for a thermally oxidized film (THOX) of 1.5 or less.
    Type: Grant
    Filed: November 22, 1999
    Date of Patent: May 30, 2006
    Assignee: Daikin Industries, Ltd.
    Inventors: Takehiko Kezuka, Makoto Suyama, Mitsushi Itano
  • Patent number: 7053003
    Abstract: A method for etching a feature in an etch layer through a photoresist mask over a substrate is provided. A substrate with an etch layer disposed below a photoresist mask is placed in a process chamber. The photoresist mask is conditioned, wherein the conditioning comprises providing a conditioning gas comprising a hydrogen containing gas with a flow rate and at least one of a fluorocarbon and a hydrofluorocarbon with a flow rate to the process chamber; and energizing the conditioning gas to form the conditioning plasma. The conditioning plasma is stepped. An etch plasma is provided to the process chamber, wherein the etch plasma is different than the conditioning plasma. A feature is etched in the etch layer with the etch plasma.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: May 30, 2006
    Assignee: Lam Research Corporation
    Inventors: Karen Jacobs Kanarik, Aaron Eppler
  • Patent number: 7049244
    Abstract: A process for controlling the plasma etch of a silicon dioxide layer at a high etch rate and high selectivity with respect to silicon nitride, particularly in a multilayer structure, by (1) maintaining various portions of the etch chamber at elevated temperatures, and/ox (2) using an etch chemistry having a fluorohydrocarbon gas containing at least as many hydrogen atoms as fluorine atoms, preferably CH2F2 or CH3F.
    Type: Grant
    Filed: August 6, 2001
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventors: David S. Becker, Guy T. Blalock, Fred L. Roe
  • Patent number: 7041599
    Abstract: High through-put Cu CMP is achieved with reduced erosion and dishing by a multi-step polishing technique. Deposited Cu is polished with fixed abrasive polishing pads initially at a high removal rate and subsequently at a reduced removal rate and high Cu:barrier layer (Ta) selectivity. Embodiments of the present invention include reducing dishing by: controlling platen rotating speeds; increasing the concentration of active chemicals; and cleaning the polishing pads between wafers. Embodiments also include removing particulate material during CMP by increasing the flow rate of the chemical agent or controlling the static etching rate between about 100 ? and about 150 ? per minute, and recycling the chemical agent. Embodiments further include flowing an inhibitor across the wafer surface after each CMP step to reduce the static etching rate.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: May 9, 2006
    Assignee: Applied Materials Inc.
    Inventors: Shijian Li, Fred C. Redeker, John White, Ramin Emami
  • Patent number: 7037848
    Abstract: In one aspect, the invention encompasses a method of etching insulative materials which comprise complexes of metal and oxygen. The insulative materials are exposed to physical etching conditions within a reaction chamber and in the presence of at least one oxygen-containing gas. In another aspect, the invention encompasses a method of forming a capacitor. An electrically conductive first layer is formed over a substrate, and a second layer is formed over the first layer. The second layer is a dielectric layer and comprises a complex of metal and oxygen. A conductive third layer is formed over the second layer. The first, second and third layers are patterned into a capacitor construction. The patterning of the second layer comprises exposing the second layer to at least one oxygen-containing gas while also exposing the second layer to physical etching conditions.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: May 2, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Daryl C. New
  • Patent number: 7037849
    Abstract: A method of patterning a layer of high-k dielectric material is provided, which may be used in the fabrication of a semiconductor device. A first etch is performed on the high-k dielectric layer. A portion of the high-k dielectric layer being etched with the first etch remains after the first etch. A second etch of the high-k dielectric layer is performed to remove the remaining portion of the high-k dielectric layer. The second etch differs from the first etch. Preferably, the first etch is a dry etch process, and the second etch is a wet etch process. This method may further include a process of plasma ashing the remaining portion of the high-k dielectric layer after the first etch and before the second etch.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: May 2, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Kuang Chiu, Baw-Ching Perng, Hun-Jan Tao
  • Patent number: 7037179
    Abstract: Methods and apparatuses for planarizing a microelectronic substrate. In one embodiment, a planarizing pad for mechanical or chemical-mechanical planarization includes a base section and a plurality of embedded sections. The base section has a planarizing surface, and the base section is composed of a first material. The embedded sections are arranged in a desired pattern of voids, and each embedded section has a top surface below the planarizing surface to define a plurality of voids in the base section. The embedded sections are composed of a second material that is selectively removable from the first material. A planarizing pad in accordance with an embodiment of the invention can be made by constructing the embedded sections in the base section and then removing a portion of the embedded sections from the base section. By removing only a portion of the embedded sections, this procedure creates the plurality of voids in the base section and leaves the remaining portions of the embedded sections.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: May 2, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Guy T. Blalock
  • Patent number: 7030029
    Abstract: A method for SAC etching is provided involving a) etching a Si wafer having a nitride present thereon with a first etching gas containing a first perfluorocarbon and carbon monoxide, and b) etching the resultant Si wafer having an initially etched nitride photoresist thereon with a second etching gas containing a second perfluorocarbon in the substantial absence of carbon monoxide, wherein the etching steps a) and b) are performed at high RF power and low pressure compared to conventional processes to provide higher selectivity etching and a larger process window for SAC etching, as well as the ability to perform SAC etching and island contact etching under the same conditions with high verticality of the island contact and SAC walls.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: April 18, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Kazuo Tsuchiya
  • Patent number: 7022610
    Abstract: A method for cleaning semiconductor substrates includes a DI water clean operation that uses a spin speed no greater than 350 rpm. The cleaning method may include additional cleaning operations such as an organic clean, an aqueous chemical clean or a DI water/ozone clean. The cleaning method may be used to clean substrates after the conclusion of an etching procedure which exposes a single film between a Cu-containing conductive material and the environment. The spin speed of the DI water clean operation prevents copper corrosion due to breakdown of the film that separates the Cu-containing conductive material from the environment.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: April 4, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chun-Li Chou, Yih-Ann Lin, Yi-Chen Huang, Chao-Cheng Chen, Hun-Jan Tao
  • Patent number: 7021320
    Abstract: A method of fabricating a dual damascene structure includes etching a via through a first dielectric layer above a substrate, a barrier layer on the first dielectric layer, and a second dielectric layer on the barrier layer. The via is at least partially filled with a photoresist plug. The plug is etched back. A trench is etched through the second dielectric layer. The trench is aligned with the via. The substrate having the first and second dielectric layers thereon is wet with an acid for a sufficient length of time to remove a via fence formed in the trench. The via and the trench are filled with metal.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: April 4, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chou-Feng Lee
  • Patent number: 7018936
    Abstract: A method of masking and etching a semiconductor substrate includes forming a layer to be etched over a semiconductor substrate. An imaging layer is formed over the layer to be etched. Selected regions of the imaging layer are removed to leave a pattern of openings extending only partially into the imaging layer. After the removing, the layer to be etched is etched using the imaging layer as an etch mask. In one implementation, an ion implant lithography method of processing a semiconductor includes forming a layer to be etched over a semiconductor substrate. An imaging layer of a selected thickness is formed over the layer to be etched. Selected regions of the imaging layer are ion implanted to change solvent solubility of implanted regions versus non-implanted regions of the imaging layer, with the selected regions not extending entirely through the imaging layer thickness.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: March 28, 2006
    Assignee: Micron Technology, Inc.
    Inventor: J. Brett Rolfson