Patents Examined by Karla A. Moore
  • Patent number: 11028481
    Abstract: Disclosed is an apparatus and method of processing substrate, which facilitates to improve deposition uniformity of a thin film deposited on a substrate, and to control quality of a thin film, wherein the apparatus includes a process chamber; a substrate supporter for supporting at least one of substrates, wherein the substrate supporter is provided in the bottom of the process chamber; a chamber lid confronting the substrate supporter, the chamber lid for covering an upper side of the process chamber; and a gas distributor for locally distributing activated source gas on the substrate, wherein the gas distributor locally confronting the substrate supporter is provided in the chamber lid, wherein the gas distributor forms plasma by the use of plasma formation gas, and activates the source gas by distributing the source gas to some of plasma area for formation of the plasma.
    Type: Grant
    Filed: December 26, 2018
    Date of Patent: June 8, 2021
    Inventors: Chui Joo Hwang, Jeung Hoon Han, Young Hoon Kim, Seung Hoon Seo
  • Patent number: 11015245
    Abstract: An improved exhaust system for a gas-phase reactor and a reactor and system including the exhaust system are disclosed. The exhaust system includes a channel fluidly coupled to an exhaust plenum. The improved exhaust system allows operation of a gas-phase reactor with desired flow characteristics while taking up relatively little space within a reaction chamber.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: May 25, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Eric Hill, Shawn Thomas
  • Patent number: 11008656
    Abstract: A roll to roll fabrication apparatus includes: a vacuum chamber having an installation chamber and a process chamber; a preprocessing unit in the installation chamber to process a surface of a film which is transferred to enhance a film characteristic in a subsequent CVD process; a process drum in the process chamber to wind the film thereon; a process treatment unit in the process chamber to form a layer by performing a CVD process on the film wound on the process drum; and a plurality of heaters in the installation chamber and the process chamber to gradually increase a temperature of the film wound on the process drum to prevent application of a thermal impact to the film due to the high-temperature process drum.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: May 18, 2021
    Assignee: LG DISPLAY CO., LTD.
    Inventors: Seunghyun Youk, Yunho Kook, Sungwoo Choi
  • Patent number: 11003080
    Abstract: A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Srinivas D. Nemani
  • Patent number: 11004661
    Abstract: A method and apparatus for substrate etching are described herein. A processing chamber described herein includes a source module, a process module, a flow module, and an exhaust module. An RF source may be coupled to the chamber and a remote plasma may be generated in the source module and a direct plasma may be generated in the process module. Cyclic etching processes described may use alternating radical and direct plasmas to etch a substrate.
    Type: Grant
    Filed: January 13, 2016
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Toan Q. Tran, Soonam Park, Junghoon Kim, Dmitry Lubomirsky
  • Patent number: 11004664
    Abstract: A heat transfer medium supply system includes valve units each alternately supplying a first and a second heat transfer medium to a corresponding zone of a stage. The valve unit includes a housing and a shaft. The housing has first and second inlets, and first and second outlets. The first and second inlets are respectively connected to a first and a second medium temperature controller. The first and second outlets are connected to the corresponding zone. The shaft is inserted in the housing and has first and second supply grooves. The first supply groove connects the first inlet to the first outlet when a rotation angle about a central axis of the shaft is within a first angle range, and the second supply groove connects the second inlet to the second outlet when the rotation angle about the central axis of the shaft is within a second angle range.
    Type: Grant
    Filed: November 8, 2017
    Date of Patent: May 11, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Patent number: 10964559
    Abstract: A wafer etching apparatus and a method for controlling an etch bath of a wafer is provided. The wafer etching apparatus includes an etching tank comprising an etch bath, an etch bath recycle system connected to the etching tank, a real time monitor (RTM) system connected to the etching tank, and a control system coupled with the RTM system and the etch bath recycle system. The wafer etching apparatus and the method for controlling an etch bath of the wafer both control the silicate concentration in the etch bath to stable an etching selectivity with respect to silicon oxide and silicon nitride.
    Type: Grant
    Filed: June 30, 2014
    Date of Patent: March 30, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tai-I Yang, Chih-Shen Yang, Tien-Lu Lin
  • Patent number: 10941491
    Abstract: A tow coating reactor system includes a reactor for receiving fiber tow, a wedge situated adjacent the reactor and configured to receive the tow at a tip end, such that as the tow moves across the wedge, the wedge spreads the tow into a plurality of sub-tows.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: March 9, 2021
    Assignee: Raytheon Technologies Corporation
    Inventors: Ying She, John E. Holowczak
  • Patent number: 10934622
    Abstract: A substrate processing apparatus includes a heat storage part on which a substrate is mounted, a tray including the heat storage part, a substrate transfer part including a rotary shaft and a rotating plate supported by the rotary shaft and being configured such that the tray can be mounted on the rotating plate, a plurality of bases arranged circumferentially around the rotary shaft; and a heater provided for each of the bases.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: March 2, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Teruo Yoshino, Naofumi Ohashi, Tadashi Takasaki, Shun Matsui
  • Patent number: 10934613
    Abstract: A mask plate, a mask plate assembly including the mask plate, a method for manufacturing the mask plate and a method for manufacturing the mask plate assembly are provided according to the present disclosure. The mask plate is used in evaporating to the display substrate, and includes a plurality of grooves arranged in an array form and on a surface of the mask plate. An opening is arranged in the middle of each of the grooves, and the opening corresponds to a display region of a display substrate.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: March 2, 2021
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventor: Shanshan Bai
  • Patent number: 10927457
    Abstract: A semiconductor manufacturing apparatus in this embodiment includes a reactor, a pump, an exhaust pipe and a mesh member. The reactor houses a semiconductor substrate to treat the semiconductor substrate. The pump exhausts a gas inside the reactor. The exhaust pipe connects between the reactor and the pump. The mesh member is located at a flow inlet of the pump for the gas or in the exhaust pipe and has a main plane having a plurality of meshes arranged thereon. The mesh member has a protrusion and/or protruding shape projecting upstream of the gas.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: February 23, 2021
    Assignee: Toshiba Memory Corporation
    Inventors: Koji Nakahara, Kazuhiro Matsuo
  • Patent number: 10916456
    Abstract: A substrate liquid processing apparatus includes a placing unit which places thereon a substrate; a liquid processing unit which processes the substrate by immersing the substrate in a processing liquid with a posture in which a plate surface of the substrate is perpendicular to a horizontal direction; a transfer unit which transfers the substrate between the placing unit and the liquid processing unit; and a rotating unit which rotates the substrate, after being subjected to a first processing by the liquid processing unit, around an axis perpendicular to the plate surface, and in a direction different from that when the first processing is performed. Further, the transfer unit transfers the substrate, after being subjected to the first processing, to the rotating unit and transfers the rotated substrate to the liquid processing unit. The liquid processing unit performs a second processing by immersing the rotated substrate in the processing liquid.
    Type: Grant
    Filed: November 30, 2017
    Date of Patent: February 9, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Hironobu Hyakutake
  • Patent number: 10910251
    Abstract: A substrate processing apparatus includes a processing container; a placement table; a plurality of pins provided on the placement table configured to perform delivery of the substrate; a plurality of drivers configured to vertically drive the plurality of pins, respectively; a plurality of measuring devices each including an encoder configured to measure height positions of the plurality of pins, respectively. The substrate processing apparatus also includes a controller configured to: measure the height positions of the plurality of pins; select a reference pin; estimate a reference height position; calculate an adjustment speed for making the height positions of the pins other than the reference pin match with the estimated reference height position; and control the drivers, which drive the other pins, to adjust driving speeds of the other pins to an adjustment speed.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: February 2, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shin Matsuura, Masato Horiguchi
  • Patent number: 10903057
    Abstract: A temperature adjustment device includes a pedestal to receive a substrate thereon, a first temperature control unit to set a first medium at a first temperature, and a second temperature control unit to set a second medium at a second temperature that is higher than the first temperature. A pedestal flow passage is provided inside the pedestal to allow the first medium and the second medium to flow therethrough by switching between the first medium and the second medium. A first flow passage through which the first medium flowing from the pedestal flow passage is allowed to flow is provided. A second flow passage through which the second medium flowing from the pedestal flow passage is allowed to flow is provided. A heat pump is connected to the first flow passage and the second flow passage to transfer heat between the first medium and the second medium.
    Type: Grant
    Filed: September 16, 2016
    Date of Patent: January 26, 2021
    Assignee: Tokyo Electron Limited
    Inventor: Hideki Wakai
  • Patent number: 10889895
    Abstract: A deposition apparatus comprises: an infeed chamber; a preheat chamber; a deposition chamber; and optionally at least one of a cooldown chamber and an outlet chamber. At least a first of the preheat chamber and the cooldown chamber contains a buffer system for buffering workpieces respectively passing to or from the deposition chamber.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: January 12, 2021
    Assignee: Raytheon Technologies Corporation
    Inventors: James W. Neal, David A. Litton, Brian T. Hazel, Michael J. Maloney, Eric M. Jorzik
  • Patent number: 10879046
    Abstract: Embodiments disclosed herein include a substrate support having a sensor assembly, and processing chamber having the same. In one embodiment, a substrate support has a puck. The puck has a workpiece support surface and a gas hole exiting the workpiece support surface. A sensor assembly is disposed in the gas hole and configured to detect a metric indicative of a deflection of a workpiece disposed on the workpiece support surface, wherein the sensor assembly is configured to allow gas to flow past the sensor assembly when positioned in the gas hole.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: December 29, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wendell Glen Boyd, Jr., Govinda Raj, Matthew James Busche
  • Patent number: 10879042
    Abstract: Plasma source assemblies comprising a housing with an RF hot electrode having a body and a plurality of source electrodes extending vertically from the RF hot electrode toward the opening in a front face of the housing are described. Processing chambers incorporating the plasma source assemblies and methods of using the plasma source assemblies are also described.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: December 29, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Anantha K. Subramani, Farzad Houshmand, Philip A. Kraus, Abhishek Chowdhury, John C. Forster, Kallol Bera
  • Patent number: 10872804
    Abstract: The present disclosure relates to a semiconductor processing apparatus having a reaction chamber which can include a baseplate having an opening; a moveable substrate support configured to support a substrate; a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate; a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support; and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support. In some embodiments, the sealing element can also include a plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element. Some embodiments include two or more stacked sealing elements.
    Type: Grant
    Filed: July 10, 2018
    Date of Patent: December 22, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Carl Louis White, Kyle Fondurulia, John Kevin Shugrue, David Marquardt
  • Patent number: 10872803
    Abstract: The present disclosure relates to a semiconductor processing apparatus having a reaction chamber which can include a baseplate having an opening; a moveable substrate support configured to support a substrate; a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate; a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support; and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support. In some embodiments, the sealing element can also include a plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: December 22, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Carl Louis White, Kyle Fondurulia, John Kevin Shugrue
  • Patent number: 10872787
    Abstract: A semiconductor processing chamber is provided and may include a wafer transfer passage that extends through a chamber wall and has an inner passage surface defining an opening, an insert including an insert inner surface defining an insert opening, and a gas inlet. A first recessed surface of the wafer transfer passage extending at least partially around and outwardly offset from the inner passage surface, a first insert outer surface extending at least partially around and outwardly offset from the insert inner surface, and a first wall surface extending between the inner passage surface and the first recessed surface, at least partially define a gas distribution channel fluidically connected to the gas inlet, the first recessed surface is separated from the first insert outer surface by a first distance and an insert front surface faces and is separated from the first wall surface by a first gap distance.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: December 22, 2020
    Assignee: Lam Research Corporation
    Inventors: Panya Wongsenakhum, Peter Krotov