Patents Examined by Karla Moore
  • Patent number: 10676817
    Abstract: Device for processing a substrate are described herein. An apparatus for controlling deposition on a substrate can include a chamber comprising a shadow frame support, a substrate support comprising a substrate supporting surface, a shadow frame with a shadow frame body including a first support surface, a second support surface opposite the first surface, and a detachable lip connected with the shadow frame body. The detachable lip can include a support connection, a first lip surface facing the substrate, a second lip surface opposite the first lip surface, a first edge positioned over the first support surface, and a second edge opposite the first edge to contact the substrate.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: June 9, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Qunhua Wang, Soo Young Choi, Robin L. Tiner, John M. White, Gaku Furuta, Beom Soo Park
  • Patent number: 10672591
    Abstract: Embodiments of an apparatus for removing particles from a twin chamber processing system are provided herein. In some embodiments, an apparatus for removing particles from a twin chamber processing system includes a remote plasma system; and a plurality of conduits fluidly coupling the remote plasma system to each process chamber of a twin chamber processing system to provide a plasma to an exhaust volume of each process chamber, wherein each conduit of the plurality of conduits has an outlet disposed along a boundary of the respective exhaust volumes.
    Type: Grant
    Filed: August 8, 2013
    Date of Patent: June 2, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Andrew Nguyen, Tom K. Cho, Kartik Ramaswamy, Yogananda Sarode Vishwanath
  • Patent number: 10655222
    Abstract: The present disclosure relates to methods and apparatus for a thin film encapsulation (TFE). In one embodiment a process kit for use in an atomic layer deposition (ALD) chamber is disclosed and includes a dielectric window, a sealing frame, and a mask frame connected with the sealing frame, wherein the mask frame has a gas inlet channel and a gas outlet channel formed therein on opposing sides thereof.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: May 19, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Srikanth V. Racherla, Suhas Bhoski, Xiangxin Rui
  • Patent number: 10658222
    Abstract: A substrate processing system includes a processing chamber and a pedestal arranged in the processing chamber. An edge coupling ring is arranged adjacent to a radially outer edge of the pedestal. A first actuator is configured to selectively move the edge coupling ring to a raised position, relative to the pedestal to provide clearance between the edge coupling ring and the pedestal to allow a robot arm to remove the edge coupling ring from the processing chamber.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: May 19, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Haoquan Yan, Robert Griffith O'Neill, Raphael Casaes, Jon McChesney, Alex Paterson
  • Patent number: 10648079
    Abstract: A process chamber for depositing a film on a wafer is provided, including: a pedestal having, a central top surface having a plurality of wafer supports configured to support the wafer at a support level above the central top surface, an annular surface at a step down from the central top surface; a carrier ring configured to be supported by carrier ring supports such that a bottom surface of the carrier ring is at a first vertical separation above the annular surface, the carrier ring having a step down surface defined relative to a top surface; wherein when the carrier ring is seated on the carrier ring supports, then the step down surface of the carrier ring is positioned at a process level that is at a second vertical separation from the support level over the top surface of the pedestal.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: May 12, 2020
    Assignee: Lam Research Corporation
    Inventors: Chloe Baldasseroni, Andrew Duvall, Ryan Blaquiere, Shankar Swaminathan
  • Patent number: 10643866
    Abstract: The present disclosure provides a wet etching machine and an etching method. The wet etching machine including an etching chamber in which at least two etching layers are disposed. The etching layers are successively overlapped with each other from up to down, and each etching layer includes a first transfer carrier for receiving and transferring a substrate to be etched and a spraying apparatus disposed right above the first transfer carrier for spraying etching solution. When the total etching time is needed to be longer than the transfer time of the substrate without stopping the substrate, the present disclosure can solve the problems in the prior art of causing the takt time decreased due to the stopping time of the substrate is required to be increased or causing the area of the facility increased due to the number of the etching chambers connected in series is required to be increased.
    Type: Grant
    Filed: April 21, 2016
    Date of Patent: May 5, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Shengrong Li, Jaeyun Jung, Shikai Wang, Dongseob Kim, Jun Geng, Dengtao Li, Qianqian Li, Yadong Liang
  • Patent number: 10636681
    Abstract: A substrate processing apparatus includes a first processing module including a first processing module, a second processing module, a first utility system adjacent to a back surface of the first processing module, and a second utility system adjacent to a back surface of the second processing module, a first exhaust box of the first utility system and a second exhaust box of the second utility system being disposed to face each other across a maintenance area located behind a part of the back surface of the first processing module that is close to the second processing module and behind a part of the back surface of the second processing module that is close to the first processing module, and a first supply box of the first utility system and a second supply box of the second utility system being disposed to face each other across the maintenance area.
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: April 28, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Daigi Kamimura, Tomoshi Taniyama, Takashi Nogami
  • Patent number: 10619291
    Abstract: A device for coating one or more yarns by a vapor deposition method, the device including a treatment chamber extending along a longitudinal axis and having a treatment zone between an internal and an external circumferential wall, and within which at least one yarn is to be coated by performing a vapor deposition method; a conveyor system to transport the at least one yarn through the treatment zone; an injector device to inject a treatment gas phase into the treatment zone through an inlet orifice present in the internal or external circumferential wall; and a removal device to remove the residual gas phase from the treatment zone through an outlet orifice present in the internal or external circumferential wall, the inlet and the outlet orifice being situated in a common plane perpendicular to the longitudinal axis of the chamber and being offset around the circumferential direction of the chamber.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: April 14, 2020
    Assignee: SAFRAN CERAMICS
    Inventors: Emilien Buet, Simon Thibaud, Adrien Delcamp, Cédric Descamps
  • Patent number: 10593539
    Abstract: A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the apparatus comprises a support assembly. In one embodiment, the support assembly includes a shaft coupled to a disk-shaped body. The disk-shaped body includes an upper surface, a lower surface and a cylindrical outer surface. A flange extends radially outward from the cylindrical outer surface. A fluid channel is formed in the disk-shaped body and is coupled to the heat transfer fluid conduit of the shaft. A plurality of grooves formed in the upper surface are coupled by a hole to the vacuum conduit of the shaft. A gas conduit formed through the disk-shaped body couples the gas conduit of the shaft to the cylindrical outer surface of the disk-shaped body.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: March 17, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Chien-Teh Kao, Joel M. Huston, Mei Chang, Xiaoxiong (John) Yuan
  • Patent number: 10570517
    Abstract: Embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber. One embodiment of the present invention provides a processing chamber including a UV transparent gas distribution showerhead disposed above a substrate support located in an inner volume of the processing chamber, a UV transparent window disposed above the UV transparent gas distribution showerhead, and a UV unit disposed outside the inner volume. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: February 25, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Amit Bansal, Dale R. Du Bois, Juan Carlos Rocha-Alvarez, Sanjeev Baluja, Scott A. Hendrickson, Thomas Nowak
  • Patent number: 10570516
    Abstract: A deposition system and method includes a deposition source, a roll conveyor and at least one shield positioned at a location proximate to the deposition source.
    Type: Grant
    Filed: April 2, 2015
    Date of Patent: February 25, 2020
    Assignee: First Solar, Inc.
    Inventor: Rick C. Powell
  • Patent number: 10570511
    Abstract: Apparatus and methods for spatial atomic layer deposition including at least one first exhaust system and at least one second exhaust system. Each exhaust system including a throttle valve and a pressure gauge to control the pressure in the processing region associated with the individual exhaust system.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: February 25, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Ning Li, Steven D. Marcus, Tai T. Ngo, Kevin Griffin
  • Patent number: 10550468
    Abstract: A substrate processing apparatus includes a transfer chamber; an upper gas supply mechanism for supplying a gas into an upper region of the transfer chamber through a first gas supply port; and a lower gas supply mechanism configured to supply the gas into a lower region of the transfer chamber through a second gas supply port. The upper gas supply mechanism includes a first buffer chamber at a back surface of the first gas supply port; a pair of upper ducts at both sides of the first buffer chamber; and a first ventilation unit at lower ends of the pair of upper ducts. The lower gas supply mechanism includes a second buffer chamber at a back surface of the second gas supply port; a lower duct at lower surface of the second buffer chamber; and a second ventilation unit at a lower end of the lower duct.
    Type: Grant
    Filed: February 1, 2017
    Date of Patent: February 4, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Takayuki Nakada, Tomoshi Taniyama, Kenji Shirako
  • Patent number: 10544508
    Abstract: An apparatus for plasma processing a substrate is provided. The apparatus comprises a processing chamber, a substrate support disposed in the processing chamber, and a lid assembly coupled to the processing chamber. The lid assembly comprises a conductive gas distributor such as a face plate coupled to a power source, and a heater coupled to the conductive gas distributor. A zoned blocker plate is coupled to the conductive gas distributor and a cooled gas cap is coupled to the zoned blocker plate. A tuning electrode may be disposed between the conductive gas distributor and the chamber body for adjusting a ground pathway of the plasma. A second tuning electrode may be coupled to the substrate support, and a bias electrode may also be coupled to the substrate support.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: January 28, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Juan Carlos Rocha-Alvarez, Amit Kumar Bansal, Ganesh Balasubramanian, Jianhua Zhou, Ramprakash Sankarakrishnan
  • Patent number: 10535868
    Abstract: A system and method of forming a thin film battery includes a substrate, a first current collector formed on the substrate, a cathode layer formed on a portion of the first current collector, a solid layer of electrolyte material formed on the cathode layer, a silicon-metal thin film anode layer formed on the solid layer of electrolyte material and a second current collector electrically coupled to the silicon-metal thin film anode layer. A method and a system for forming the thin film battery are also disclosed.
    Type: Grant
    Filed: October 24, 2016
    Date of Patent: January 14, 2020
    Assignee: APPLEJACK 199 L.P.
    Inventors: Wenming Li, Byunghoon Yoon, Ann Koo
  • Patent number: 10529577
    Abstract: The present invention relates to a device of changing the gas flow pattern in the process chamber and a wafer processing method and system; a gas introduced from the gas inlet to the process chamber will process the wafer in the process chamber; a gas center ring is set in the process chamber to adjust the gas flow pattern, which includes a fixed component under the gas inlet and above the wafer, and a movable ring could locate in the first position or the second position respectively; when the movable ring is in the first position, the gas is delivered downwards to the wafer via the first opening set on the fixed component; when the movable ring is in the second position, the gas is delivered downwards to the wafer via the second opening set on the movable ring.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: January 7, 2020
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA
    Inventors: TuQiang Ni, ZhiLin Huang
  • Patent number: 10522330
    Abstract: Provided herein are approaches for in-situ plasma cleaning of one or more components of an ion implantation system. In one approach, the component may include a beam-line component having one or more conductive beam optics. The system further includes a power supply for supplying a first voltage and first current to the component during a processing mode and a second voltage and second current to the component during a cleaning mode. The second voltage and current may be applied to the conductive beam optics of the component, in parallel, to selectively (e.g., individually) generate plasma around one or more of the one or more conductive beam optics. The system may further include a flow controller for adjusting an injection rate of an etchant gas supplied to the component, and a vacuum pump for adjusting pressure of an environment of the component.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: December 31, 2019
    Assignee: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Kevin Anglin, William Davis Lee, Peter Kurunczi, Ryan Downey, Jay T. Scheuer, Alexandre Likhanskii, William M. Holber
  • Patent number: 10510625
    Abstract: An apparatus for supporting a wafer during a plasma processing operation includes a pedestal configured to have bottom surface and a top surface and a column configured to support the pedestal at a central region of the bottom surface of the pedestal. An electrical insulating layer is disposed over the top surface of the pedestal. An electrically conductive layer is disposed over the top surface of the electrical insulating layer. At least three electrically conductive support structures are distributed on the electrically conductive layer. The at least three support structures are configured to interface with a bottom surface of a wafer to physically support the wafer and electrically connect to the wafer. An electrical connection extends from the electrically conductive layer to connect with a positive terminal of a direct current power supply at a location outside of the pedestal.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: December 17, 2019
    Assignee: Lam Research Corporation
    Inventors: Yukinori Sakiyama, Edward Augustyniak, Douglas Keil
  • Patent number: 10494714
    Abstract: The present invention provides chucks having a well that supports rods produced during chemical vapor deposition. The chucks can utilize slats and windows around the well up to which the rod can grow and become supported.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: December 3, 2019
    Assignee: OCI COMPANY LTD.
    Inventor: Wenjun Qin
  • Patent number: 10490427
    Abstract: A substrate treating apparatus is provided which includes a treating container of which a top end is opened, a substrate support unit placed in a treating container to support a substrate, a treatment solution supply unit supplying a treatment solution to a substrate put on the support unit, and a heating unit placed in the substrate support unit to heat the substrate. The heating unit includes a heating element and a reflection element reflecting a heat from the heating element upward.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: November 26, 2019
    Assignee: SEMES CO., LTD.
    Inventors: Jung Bong Choi, Seong Soo Kim, Chan-Young Heo, Oh Jin Kwon