Patents by Inventor Eswaranand Venkatasubramanian

Eswaranand Venkatasubramanian has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11946134
    Abstract: Methods of depositing a nanocrystalline diamond film are described. The method may be used in the manufacture of integrated circuits. Methods include treating a substrate with a mild plasma to form a treated substrate surface, incubating the treated substrate with a carbon-rich weak plasma to nucleate diamond particles on the treated substrate surface, followed by treating the substrate with a strong plasma to form a nanocrystalline diamond film.
    Type: Grant
    Filed: January 27, 2022
    Date of Patent: April 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Sze Chieh Tan, Vicknesh Sahmuganathan, Eswaranand Venkatasubramanian, Abhijit Basu Mallick, John Sudijono
  • Publication number: 20240087894
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Application
    Filed: November 13, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Pramit MANNA, Abhijit B. MALLICK
  • Publication number: 20240090213
    Abstract: A method of forming a semiconductor memory device includes simultaneously filling a top portion of a first high aspect ratio (HAR) structure and a top portion a second HAR structure with a silicon-containing sacrificial layer by a cycle of a deposition process and an etch process, wherein the first HAR structure has a critical dimension (CD) of between 150 nm and 250 nm, and the second HAR structure has a CD of between 250 nm and 400 nm.
    Type: Application
    Filed: August 28, 2023
    Publication date: March 14, 2024
    Inventors: Jialiang WANG, Soonil LEE, Eswaranand VENKATASUBRAMANIAN, Chang Seok KANG, Sanjay G. KAMATH, Abhijit B. MALLICK, Srinivas GUGGILLA, Amy CHILD, Sung-Kwan KANG, Balasubramanian PRANATHARTHIHARAN
  • Patent number: 11894230
    Abstract: Methods to manufacture integrated circuits are described. Nanocrystalline diamond is used as a hard mask in place of amorphous carbon. Provided is a method of processing a substrate in which nanocrystalline diamond is used as a hard mask, wherein processing methods result in a smooth surface. The method involves two processing parts. Two separate nanocrystalline diamond recipes are combined—the first and second recipes are cycled to achieve a nanocrystalline diamond hard mask having high hardness, high modulus, and a smooth surface. In other embodiments, the first recipe is followed by an inert gas plasma smoothening process and then the first recipe is cycled to achieve a high hardness, a high modulus, and a smooth surface.
    Type: Grant
    Filed: January 25, 2023
    Date of Patent: February 6, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Vicknesh Sahmuganathan, Jiteng Gu, Eswaranand Venkatasubramanian, Kian Ping Loh, Abhijit Basu Mallick, John Sudijono, Zhongxin Chen
  • Patent number: 11842897
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Grant
    Filed: October 4, 2019
    Date of Patent: December 12, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20230335402
    Abstract: A method of processing a substrate is provided including flowing a deposition gas comprising a hydrocarbon compound and a dopant compound into a process volume having a substrate disposed positioned on a substrate support. The process volume is maintained at a pressure of about 0.5 mTorr to about 10 mTorr. The method includes generating a plasma at the substrate by applying a first RF bias to the substrate support to deposit a doped diamond-like carbon film on the substrate. The doped diamond-like carbon film includes about 5 at. % to about 25 at. % of dopant and a first stress property. The method includes annealing the doped diamond-like carbon film at about 220° C. to about 450° C. to form an annealed film. The annealed film includes a second stress property. The second stress property having an absolute value less than or within 10% the first stress property.
    Type: Application
    Filed: April 15, 2022
    Publication date: October 19, 2023
    Inventors: Eswaranand Venkatasubramanian, Rajaram Narayanan, Pramit Manna, Abhijit B. Mallick, Karthik Janakiraman, Jialiang Wang
  • Patent number: 11784042
    Abstract: Embodiments herein provide methods of depositing an amorphous carbon layer using a plasma enhanced chemical vapor deposition (PECVD) process and hard masks formed therefrom. In one embodiment, a method of processing a substrate includes positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber, flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, maintaining the processing volume at a processing pressure less than about 100 mTorr, igniting and maintaining a deposition plasma of the processing gas by applying a first power to one of one or more power electrodes of the processing chamber, maintaining the substrate support at a processing temperature less than about 350° C., exposing a surface of the substrate to the deposition plasma, and depositing an amorphous carbon layer on the surface of the substrate.
    Type: Grant
    Filed: October 6, 2022
    Date of Patent: October 10, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eswaranand Venkatasubramanian, Yang Yang, Pramit Manna, Kartik Ramaswamy, Takehito Koshizawa, Abhijit Basu Mallick
  • Publication number: 20230279540
    Abstract: Apparatuses and methods for forming a film on a substrate are described. The film is formed on the substrate by depositing an adamantane monomer and an initiator on the substrate to form a polymerizable seed layer and curing the polymerizable seed layer to form a polyadamantane layer.
    Type: Application
    Filed: December 15, 2021
    Publication date: September 7, 2023
    Applicants: Applied Materials, Inc., National University of Singapore
    Inventors: Vicknesh Sahmuganathan, Jiteng Gu, Zhongxin Chen, Kian Ping Loh, John Sudijono, Haisen Xu, Sze Chieh Tan, Yuanxing Han, Jiecong Tang, Eswaranand Venkatasubramanian, Abhijit Basu Mallick
  • Publication number: 20230260800
    Abstract: Hard masks and methods of forming hard masks are described. The hard mask has an average roughness less than 10 nm and a modulus greater than or equal to 400 GPa. The method comprises exposing a substrate to a deposition gas comprising a dopant gas or a precursor (solid (e.g. Alkylborane compounds) or liquid (e.g. Borazine)), a carbon gas and argon at a temperature less than or equal to 550 C, and igniting a plasma from the deposition gas to form an ultrananocrystalline diamond film having an average roughness less than 10 nm and a modulus greater than or equal to 400 GPa.
    Type: Application
    Filed: February 15, 2022
    Publication date: August 17, 2023
    Applicants: Applied Materials, Inc., National University of Singapore
    Inventors: Vicknesh Sahmuganathan, Eswaranand Venkatasubramanian, Jiteng Gu, Kian Ping Loh, Abhijit Basu Mallick, John Sudijono
  • Publication number: 20230235452
    Abstract: Methods of depositing a nanocrystalline diamond film are described. The method may be used in the manufacture of integrated circuits. Methods include treating a substrate with a mild plasma to form a treated substrate surface, incubating the treated substrate with a carbon-rich weak plasma to nucleate diamond particles on the treated substrate surface, followed by treating the substrate with a strong plasma to form a nanocrystalline diamond film.
    Type: Application
    Filed: January 27, 2022
    Publication date: July 27, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Sze Chieh Tan, Vicknesh Sahmuganathan, Eswaranand Venkatasubramanian, Abhijit Basu Mallick, John Sudijono
  • Publication number: 20230220551
    Abstract: Embodiments of the present disclosure relate to methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a plasma-enhanced chemical vapor deposition (PECVD) process. In particular, the methods described herein utilize a combination of RF AC power and pulsed DC power to create a plasma which deposits an amorphous carbon layer with a high ratio of sp3 (diamond-like) carbon to sp2 (graphite-like) carbon. The methods also provide for lower processing pressures, lower processing temperatures, and higher processing powers, each of which, alone or in combination, may further increase the relative fraction of sp3 carbon in the deposited amorphous carbon layer. As a result of the higher sp3 carbon fraction, the methods described herein provide amorphous carbon layers having improved density, rigidity, etch selectivity, and film stress as compared to amorphous carbon layers deposited by conventional methods.
    Type: Application
    Filed: March 9, 2023
    Publication date: July 13, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Yang YANG, Pramit MANNA, Kartik RAMASWAMY, Takehito KOSHIZAWA, Abhijit B. MALLICK
  • Patent number: 11676858
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high quality gapfill. Some embodiments utilize chemical vapor deposition, plasma vapor deposition, physical vapor deposition and combinations thereof to deposit the gapfill. The gapfill is of high quality and similar in properties to similarly composed bulk materials.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: June 13, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Samuel E. Gottheim, Eswaranand Venkatasubramanian, Pramit Manna, Abhijit Basu Mallick
  • Publication number: 20230175120
    Abstract: Methods of depositing an adamantane film are described, which may be used in the manufacture of integrated circuits. Methods include processing a substrate in which an adamantane seed layer is deposited on a substrate, converting to a diamond nuclei layer having an increased crystallinity relative to the adamantane seed layer and then grown into full nanocrystalline diamond film from the diamond nuclei layer.
    Type: Application
    Filed: December 5, 2022
    Publication date: June 8, 2023
    Applicants: Applied Materials, Inc., National University of Singapore
    Inventors: Sze Chieh Tan, Vicknesh Sahmuganathan, Eswaranand Venkatasubramanian, Abhijit Basu Mallick, John Sudijono, Jiteng Gu, Kian Ping Loh
  • Publication number: 20230170217
    Abstract: Methods to manufacture integrated circuits are described. Nanocrystalline diamond is used as a hard mask in place of amorphous carbon. Provided is a method of processing a substrate in which nanocrystalline diamond is used as a hard mask, wherein processing methods result in a smooth surface. The method involves two processing parts. Two separate nanocrystalline diamond recipes are combined—the first and second recipes are cycled to achieve a nanocrystalline diamond hard mask having high hardness, high modulus, and a smooth surface. In other embodiments, the first recipe is followed by an inert gas plasma smoothening process and then the first recipe is cycled to achieve a high hardness, a high modulus, and a smooth surface.
    Type: Application
    Filed: January 25, 2023
    Publication date: June 1, 2023
    Applicants: Applied Materials, Inc., National University of Singapore
    Inventors: Vicknesh Sahmuganathan, Jiteng Gu, Eswaranand Venkatasubramanian, Kian Ping Loh, Abhijit Basu Mallick, John Sudijono, Zhongxin Chen
  • Publication number: 20230154726
    Abstract: Embodiments described herein relate to magnetic and electromagnetic systems and a method for controlling the density profile of plasma generated in a process volume of a PECVD chamber to affect deposition profile of a film. In one embodiment, a plurality of retaining brackets is disposed in a rotational magnetic housing of the magnetic housing systems. Each retaining bracket of the plurality of retaining brackets is disposed in the rotational magnetic housing with a distance d between each retaining bracket. The plurality of retaining brackets has a plurality of magnets removably disposed therein. The plurality of magnets is configured to travel in a circular path when the rotational magnetic housing is rotated around the round central opening.
    Type: Application
    Filed: January 17, 2023
    Publication date: May 18, 2023
    Inventors: Srinivas GANDIKOTA, Tza-Jing GUNG, Samuel E. GOTTHEIM, Timothy Joseph FRANKLIN, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Edward HAYWOOD, Stephen C. GARNER, Adam FISCHBACH
  • Publication number: 20230139431
    Abstract: Embodiments described herein provide magnetic and electromagnetic housing systems and a method for controlling the properties of plasma generated in a process volume of a process chamber to affect deposition properties of a film. In one embodiment, the method includes rotation of the rotational magnetic housing about a center axis of the process volume to create dynamic magnetic fields. The magnetic fields modify the shape of the plasma, concentration of ions and radicals, and movement of concentration of ions and radicals to control the density profile of the plasma. Controlling the density profile of the plasma tunes the uniformity and properties of a deposited or etched film.
    Type: Application
    Filed: December 27, 2022
    Publication date: May 4, 2023
    Applicant: Applied Materials, Inc.
    Inventors: Samuel E. GOTTHEIM, Abhijit B. MALLICK, Pramit MANNA, Eswaranand VENKATASUBRAMANIAN, Timothy Joseph FRANKLIN, Edward HAYWOOD, Stephen C. GARNER, Adam FISCHBACH
  • Patent number: 11638374
    Abstract: Apparatuses and methods to provide a patterned substrate are described. A plurality of patterned and spaced first lines and carbon material lines and formed on the substrate surface by selectively depositing and etching films extending in a first direction and films extending in a second direction that crosses the first direction to pattern the underlying structures.
    Type: Grant
    Filed: April 14, 2022
    Date of Patent: April 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tejinder Singh, Takehito Koshizawa, Abhijit Basu Mallick, Pramit Manna, Nancy Fung, Eswaranand Venkatasubramanian, Ho-yung David Hwang, Samuel E. Gottheim
  • Patent number: 11621160
    Abstract: A microelectronic device on a semiconductor substrate comprises: a gate electrode; and a spacer adjacent to the gate electrode, the spacer comprising: a the low-k dielectric film comprising one or more species of vanadium oxide, which is optionally doped, and an optional silicon nitride or oxide film. Methods comprise depositing a low-k dielectric film optionally sandwiched by a silicon nitride or oxide film to form a spacer adjacent to a gate electrode of a microelectronic device on a semiconductor substrate, wherein the low-k dielectric film comprises a vanadium-containing film.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: April 4, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eswaranand Venkatasubramanian, Srinivas Gandikota, Kelvin Chan, Atashi Basu, Abhijit Basu Mallick
  • Patent number: 11603591
    Abstract: Methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, use a plasma-enhanced chemical vapor deposition (PECVD) process. In particular, the methods utilize a combination of RF AC power and pulsed DC power to create a plasma which deposits an amorphous carbon layer with a high ratio of sp3 (diamond-like) carbon to sp2 (graphite-like) carbon. The methods also provide for lower processing pressures, lower processing temperatures, and higher processing powers, each of which, alone or in combination, may further increase the relative fraction of sp3 carbon in the deposited amorphous carbon layer. As a result of the higher sp3 carbon fraction, the methods provide amorphous carbon layers having improved density, rigidity, etch selectivity, and film stress as compared to amorphous carbon layers deposited by conventional methods.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: March 14, 2023
    Assignee: Applied Materials Inc.
    Inventors: Eswaranand Venkatasubramanian, Yang Yang, Pramit Manna, Kartik Ramaswamy, Takehito Koshizawa, Abhijit B. Mallick
  • Patent number: 11594416
    Abstract: Methods to manufacture integrated circuits are described. Nanocrystalline diamond is used as a hard mask in place of amorphous carbon. Provided is a method of processing a substrate in which nanocrystalline diamond is used as a hard mask, wherein processing methods result in a smooth surface. The method involves two processing parts. Two separate nanocrystalline diamond recipes are combined—the first and second recipes are cycled to achieve a nanocrystalline diamond hard mask having high hardness, high modulus, and a smooth surface. In other embodiments, the first recipe is followed by an inert gas plasma smoothening process and then the first recipe is cycled to achieve a high hardness, a high modulus, and a smooth surface.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: February 28, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Vicknesh Sahmuganathan, Jiteng Gu, Eswaranand Venkatasubramanian, Kian Ping Loh, Abhijit Basu Mallick, John Sudijono, Zhongxin Chen