Patents by Inventor Jae-sun Seo

Jae-sun Seo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240135256
    Abstract: A method of training a machine learning algorithm comprises providing a set of input data, performing transforms on the input data to generate augmented data, to provide transformed base paths into machine learning algorithm encoders, segmenting the augmented data, calculating main base path outputs by applying a weighting to the segmented augmented data, calculating pruning masks from the input and augmented data to apply to the base paths of the machine learning algorithm encoders, the pruning masks having a binary value for each segment in the segmented augmented data, calculating sparse conditional path outputs by performing a computation on the segments of the segmented augmented data, and calculating a final output as a sum of the main base path outputs and the sparse conditional path outputs. A computer-implemented system for learning sparse features of a dataset is also disclosed.
    Type: Application
    Filed: October 24, 2023
    Publication date: April 25, 2024
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Jian Meng, Li Yang, Deliang Fan
  • Publication number: 20240095528
    Abstract: A method for increasing the temperature-resiliency of a neural network, the method comprising loading a neural network model into a resistive nonvolatile in-memory-computing chip, training the deep neural network model using a progressive knowledge distillation algorithm as a function of a teacher model, the algorithm comprising injecting, using a clean model as the teacher model, low-temperature noise values into a student model and changing, now using the student model as the teacher model, the low-temperature noises to high-temperature noises, and training the deep neural network model using a batch normalization adaptation algorithm, wherein the batch normalization adaptation algorithm includes training a plurality of batch normalization parameters with respect to a plurality of thermal variations.
    Type: Application
    Filed: September 8, 2023
    Publication date: March 21, 2024
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Jian Meng, Li Yang, Deliang Fan
  • Patent number: 11783875
    Abstract: In some embodiments, an in-memory-computing SRAM macro based on capacitive-coupling computing (C3) (which is referred to herein as “C3SRAM”) is provided. In some embodiments, a C3SRAM macro can support array-level fully parallel computation, multi-bit outputs, and configurable multi-bit inputs. The macro can include circuits embedded in bitcells and peripherals to perform hardware acceleration for neural networks with binarized weights and activations in some embodiments. In some embodiments, the macro utilizes analog-mixed-signal capacitive-coupling computing to evaluate the main computations of binary neural networks, binary-multiply-and-accumulate operations. Without needing to access the stored weights by individual row, the macro can assert all of its rows simultaneously and form an analog voltage at the read bitline node through capacitive voltage division, in some embodiments.
    Type: Grant
    Filed: May 31, 2022
    Date of Patent: October 10, 2023
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Mingoo Seok, Zhewei Jiang, Jae-sun Seo, Shihui Yin
  • Patent number: 11775831
    Abstract: Techniques are described for efficiently reducing the amount of total computation in convolutional neural networks (CNNs) without affecting the output result or classification accuracy. Computation redundancy in CNNs is reduced by exploiting the computing nature of the convolution and subsequent pooling (e.g., sub-sampling) operations. In some implementations, the input features may be divided into a group of precision values and the operation(s) may be cascaded. A maximum may be identified (e.g., by 90% probability) using a small number of bits in the input features, and the full-precision convolution may then be performed on the maximum input. Accordingly, the total number of bits used to perform the convolution is reduced without affecting the output features or the final classification accuracy.
    Type: Grant
    Filed: January 13, 2023
    Date of Patent: October 3, 2023
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Minkyu Kim
  • Patent number: 11727261
    Abstract: A static random-access memory (SRAM) system includes SRAM cells configured to perform exclusive NOR operations between a stored binary weight value and a provided binary input value. In some embodiments, SRAM cells are configured to perform exclusive NOR operations between a stored binary weight value and a provided ternary input value. The SRAM cells are suitable for the efficient implementation of emerging deep neural network technologies such as binary neural networks and XNOR neural networks.
    Type: Grant
    Filed: November 4, 2021
    Date of Patent: August 15, 2023
    Assignees: Arizona Board of Regents on behalf of Arizona State University, The Trustees of Columbia University in the City of New York
    Inventors: Jae-sun Seo, Shihui Yin, Zhewei Jiang, Mingoo Seok
  • Publication number: 20230222339
    Abstract: Techniques are described for efficiently reducing the amount of total computation in convolutional neural networks (CNNs) without affecting the output result or classification accuracy. Computation redundancy in CNNs is reduced by exploiting the computing nature of the convolution and subsequent pooling (e.g., sub-sampling) operations. In some implementations, the input features may be divided into a group of precision values and the operation(s) may be cascaded. A maximum may be identified (e.g., by 90% probability) using a small number of bits in the input features, and the full-precision convolution may then be performed on the maximum input. Accordingly, the total number of bits used to perform the convolution is reduced without affecting the output features or the final classification accuracy.
    Type: Application
    Filed: January 13, 2023
    Publication date: July 13, 2023
    Inventors: Jae-sun Seo, Minkyu Kim
  • Patent number: 11698952
    Abstract: A smart hardware security engine using biometric features and hardware-specific features is provided. The smart security engine can combine one or more entropy sources, including individually distinguishable biometric features, and hardware-specific features to perform secret key generation for user registration and authentication. Such hybrid signatures may be distinct from person-to-person (e.g., due to the biometric features) and from device-to-device (e.g., due to the hardware-specific features) while varying over time. Thus, embodiments described herein can be used for personal device authentication as well as secret random key generation, significantly reducing the scope of an attack.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: July 11, 2023
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Shihui Yin, Sai Kiran Cherupally
  • Publication number: 20230129133
    Abstract: Hierarchical coarse-grain sparsity for deep neural networks is provided. An algorithm-hardware co-optimized memory compression technique is proposed to compress deep neural networks in a hardware-efficient manner, which is referred to herein as hierarchical coarse-grain sparsity (HCGS). HCGS provides a new long short-term memory (LSTM) training technique which enforces hierarchical structured sparsity by randomly dropping static block-wise connections between layers. HCGS maintains the same hierarchical structured sparsity throughout training and inference; this reduces weight storage for both training and inference hardware systems.
    Type: Application
    Filed: October 18, 2022
    Publication date: April 27, 2023
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Deepak Kadetotad, Chaitali Chakrabarti, Visar Berisha
  • Publication number: 20230085867
    Abstract: Method, systems, and devices, disclosed herein can leverage noise and aggressive quantization of in-memory computing (IMC) to provide robust deep neural network (DNN) hardware against adversarial input and weight attacks. IMC substantially improves the energy efficiency of DNN hardware by activating many rows together and performing analog computing. The noisy analog IMC induces some amount of accuracy drop in hardware acceleration, which is generally considered as a negative effect. However, this disclosure demonstrates that such hardware intrinsic noise can, on the contrary, play a positive role in enhancing adversarial robustness. To achieve this, a new DNN training scheme is proposed that integrates measured IMC hardware noise and aggressive partial sum quantization at the IMC crossbar. It is shown that this effectively improves the robustness of IMC DNN hardware against both adversarial input and weight attacks.
    Type: Application
    Filed: September 13, 2022
    Publication date: March 23, 2023
    Inventors: Adnan Siraj Rakin, Deliang Fan, Sai Kiran Cherupally, Jae-sun Seo
  • Publication number: 20230089348
    Abstract: In some embodiments, an in-memory-computing SRAM macro based on capacitive-coupling computing (C3) (which is referred to herein as “C3SRAM”) is provided. In some embodiments, a C3SRAM macro can support array-level fully parallel computation, multi-bit outputs, and configurable multi-bit inputs. The macro can include circuits embedded in bitcells and peripherals to perform hardware acceleration for neural networks with binarized weights and activations in some embodiments. In some embodiments, the macro utilizes analog-mixed-signal capacitive-coupling computing to evaluate the main computations of binary neural networks, binary-multiply-and-accumulate operations. Without needing to access the stored weights by individual row, the macro can assert all of its rows simultaneously and form an analog voltage at the read bitline node through capacitive voltage division, in some embodiments.
    Type: Application
    Filed: May 31, 2022
    Publication date: March 23, 2023
    Inventors: Mingoo Seok, Zhewei Jiang, Jae-sun Seo, Shihui Yin
  • Publication number: 20230078473
    Abstract: A robust and accurate binary neural network, referred to as RA-BNN, is provided to simultaneously defend against adversarial noise injection and improve accuracy. Recently developed adversarial weight attack, a.k.a. bit-flip attack (BFA), has shown enormous success in compromising deep neural network (DNN) performance with an extremely small amount of model parameter perturbation. To defend against this threat, embodiments of RA-BNN adopt a complete binary neural network (BNN) to significantly improve DNN model robustness (defined as the number of bit-flips required to degrade the accuracy to as low as a random guess). To improve clean inference accuracy, a novel and efficient two-stage network growing method is proposed and referred to as early growth. Early growth selectively grows the channel size of each BNN layer based on channel-wise binary masks training with Gumbel-Sigmoid function.
    Type: Application
    Filed: September 14, 2022
    Publication date: March 16, 2023
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Deliang Fan, Adnan Siraj Rakin, Li Yang, Chaitali Chakrabarti, Yu Cao, Jae-sun Seo, Jingtao Li
  • Publication number: 20230070387
    Abstract: A resistive random-access memory (RRAM) system includes an RRAM cell. The RRAM cell includes a first select line and a second select line, a word line, a bit line, a first resistive memory device, a first switching device, a second resistive memory device, a second switching device, and a comparator. The first resistive memory device is coupled between a first access node and the bit line. The first switching device is coupled between the first select line and the first access node. The second resistive memory device is coupled between a second access node and the bit line. The second switching device is coupled between the second select line and the second access node. The comparator includes a first input coupled to the bit line, a second input, and an output.
    Type: Application
    Filed: November 14, 2022
    Publication date: March 9, 2023
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Shimeng Yu
  • Patent number: 11556779
    Abstract: Techniques are described for efficiently reducing the amount of total computation in convolutional neural networks (CNNs) without affecting the output result or classification accuracy. Computation redundancy in CNNs is reduced by exploiting the computing nature of the convolution and subsequent pooling (e.g., sub-sampling) operations. In some implementations, the input features may be divided into a group of precision values and the operation(s) may be cascaded. A maximum may be identified (e.g., by 90% probability) using a small number of bits in the input features, and the full-precision convolution may then be performed on the maximum input. Accordingly, the total number of bits used to perform the convolution is reduced without affecting the output features or the final classification accuracy.
    Type: Grant
    Filed: September 21, 2017
    Date of Patent: January 17, 2023
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Minkyu Kim
  • Patent number: 11501829
    Abstract: A resistive random-access memory (RRAM) system includes an RRAM cell. The RRAM cell includes a first select line and a second select line, a word line, a bit line, a first resistive memory device, a first switching device, a second resistive memory device, a second switching device, and a comparator. The first resistive memory device is coupled between a first access node and the bit line. The first switching device is coupled between the first select line and the first access node. The second resistive memory device is coupled between a second access node and the bit line. The second switching device is coupled between the second select line and the second access node. The comparator includes a first input coupled to the bit line, a second input, and an output.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: November 15, 2022
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Jae-sun Seo, Shimeng Yu
  • Publication number: 20220318628
    Abstract: Hardware noise-aware training for improving accuracy of in-memory computing (IMC)-based deep neural network (DNN) hardware is provided. DNNs have been very successful in large-scale recognition tasks, but they exhibit large computation and memory requirements. To address the memory bottleneck of digital DNN hardware accelerators, IMC designs have been presented to perform analog DNN computations inside the memory. Recent IMC designs have demonstrated high energy-efficiency, but this is achieved by trading off the noise margin, which can degrade the DNN inference accuracy. The present disclosure proposes hardware noise-aware DNN training to largely improve the DNN inference accuracy of IMC hardware. During DNN training, embodiments perform noise injection at the partial sum level, which matches with the crossbar structure of IMC hardware, and the injected noise data is directly based on measurements of actual IMC prototype chips.
    Type: Application
    Filed: April 6, 2022
    Publication date: October 6, 2022
    Applicant: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Sai Kiran Cherupally, Jian Meng, Shihui Yin, Deliang Fan, Jae?sun Seo
  • Publication number: 20220318610
    Abstract: A programmable in-memory computing (IMC) accelerator for low-precision deep neural network inference, also referred to as PIMCA, is provided. Embodiments of the PIMCA integrate a large number of capacitive-coupling-based IMC static random-access memory (SRAM) macros and demonstrate large-scale integration of IMC SRAM macros. For example, a 28 nm prototype integrates 108 capacitive-coupling-based IMC SRAM macros of a total size of 3.4 megabytes (Mb), demonstrating one of the largest IMC hardware to date. In addition, a custom instruction set architecture (ISA) is developed featuring IMC and single-instruction-multiple-data (SIMD) functional units with hardware loop to support a range of deep neural network (DNN) layer types. The 28 nm prototype chip achieves a peak throughput of 4.9 tera operations per second (TOPS) and system-level peak energy-efficiency of 437 TOPS per watt (TOPS/W) at 40 megahertz (MHz) with a 1 volt (V) supply.
    Type: Application
    Filed: April 4, 2022
    Publication date: October 6, 2022
    Inventors: Jae-sun Seo, Bo Zhang, Mingoo Seok, Shihui Yin
  • Publication number: 20220309330
    Abstract: A static random-access memory (SRAM) system includes SRAM cells configured to perform exclusive NOR operations between a stored binary weight value and a provided binary input value. In some embodiments, SRAM cells are configured to perform exclusive NOR operations between a stored binary weight value and a provided ternary input value. The SRAM cells are suitable for the efficient implementation of emerging deep neural network technologies such as binary neural networks and XNOR neural networks.
    Type: Application
    Filed: November 4, 2021
    Publication date: September 29, 2022
    Inventors: Jae-sun Seo, Shihui Yin, Zhewei Jiang, Mingoo Seok
  • Patent number: 11355167
    Abstract: In some embodiments, an in-memory-computing SRAM macro based on capacitive-coupling computing (C3) (which is referred to herein as “C3SRAM”) is provided. In some embodiments, a C3SRAM macro can support array-level fully parallel computation, multi-bit outputs, and configurable multi-bit inputs. The macro can include circuits embedded in bitcells and peripherals to perform hardware acceleration for neural networks with binarized weights and activations in some embodiments. In some embodiments, the macro utilizes analog-mixed-signal capacitive-coupling computing to evaluate the main computations of binary neural networks, binary-multiply-and-accumulate operations. Without needing to access the stored weights by individual row, the macro can assert all of its rows simultaneously and form an analog voltage at the read bitline node through capacitive voltage division, in some embodiments.
    Type: Grant
    Filed: June 23, 2021
    Date of Patent: June 7, 2022
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Mingoo Seok, Zhewei Jiang, Jae-sun Seo, Shihui Yin
  • Patent number: 11295201
    Abstract: Embodiments of the invention relate to a time-division multiplexed neurosynaptic module with implicit memory addressing for implementing a neural network. One embodiment comprises maintaining neuron attributes for multiple neurons and maintaining incoming firing events for different time steps. For each time step, incoming firing events for said time step are integrated in a time-division multiplexing manner. Incoming firing events are integrated based on the neuron attributes maintained. For each time step, the neuron attributes maintained are updated in parallel based on the integrated incoming firing events for said time step.
    Type: Grant
    Filed: March 29, 2019
    Date of Patent: April 5, 2022
    Assignee: International Business Machines Corporation
    Inventors: John V. Arthur, Bernard V. Brezzo, Leland Chang, Daniel J. Friedman, Paul A. Merolla, Dharmendra S. Modha, Robert K. Montoye, Jae-sun Seo, Jose A. Tierno
  • Patent number: 11170292
    Abstract: A static random-access memory (SRAM) system includes SRAM cells configured to perform exclusive NOR operations between a stored binary weight value and a provided binary input value. In some embodiments, SRAM cells are configured to perform exclusive NOR operations between a stored binary weight value and a provided ternary input value. The SRAM cells are suitable for the efficient implementation of emerging deep neural network technologies such as binary neural networks and XNOR neural networks.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: November 9, 2021
    Assignees: The Trustees of Columbia University in the City of New York, Arizona Board of Regents on Behalf of Arizona State University
    Inventors: Jae-sun Seo, Shihui Yin, Zhewei Jiang, Mingoo Seok