Patents by Inventor Jeffrey A. Smith

Jeffrey A. Smith has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20220102362
    Abstract: A static random access memory (SRAM) structure is provided. The structure includes a plurality of SRAM bit cells on a substrate. Each SRAM bit cell includes at least six transistors including at least two NMOS transistors and at least two PMOS transistors. Each of the at least six transistors being lateral transistors with channels formed from nano-sheets grown by epitaxy. The at least six transistors positioned in two decks in which a second deck is positioned vertically above a first deck relative to a working surface of the substrate, wherein at least one NMOS transistor and at least one PMOS transistor share a common vertical gate. A first inverter formed using a first transistor positioned in the first deck and a second transistor positioned in the second deck. A second inverter formed using a third transistor positioned in the first deck and a fourth transistor positioned in the second deck. A pass gate is located in either the first deck or the second deck.
    Type: Application
    Filed: May 10, 2021
    Publication date: March 31, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Daniel CHANEMOUGAME, Lars LIEBMANN, Jeffrey SMITH
  • Publication number: 20220102277
    Abstract: An additional set of interconnects is created in bulk material, allowing connections to active devices to be made from both above and below. The interconnects below the active devices can form a power distribution network, and the interconnects above the active devices can form a signaling network. Various accommodations can be made to suit different applications, such as encapsulating buried elements, using sacrificial material, and replacing the bulk material with a dielectric. Epitaxial material can be used throughout the formation process, allowing for the creation of a monolithic substrate.
    Type: Application
    Filed: May 3, 2021
    Publication date: March 31, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Daniel CHANEMOUGAME, Lars LIEBMANN, Jeffrey SMITH
  • Publication number: 20220102380
    Abstract: In vertically stacked device structures, a buried interconnect and bottom contacts can be formed, thereby allowing connections to be made to device terminals from both below and above the stacked device structures. Techniques herein include a structure that enables electrical access to each independent device terminal of multiple devices, stacked on top of each other, without interfering with other devices and the local connections that are needed.
    Type: Application
    Filed: May 21, 2021
    Publication date: March 31, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Daniel CHANEMOUGAME, Lars LIEBMANN, Jeffrey SMITH
  • Publication number: 20220085012
    Abstract: In a method of forming a semiconductor device, a plurality of transistor pairs is formed to be stacked over a substrate. The plurality of transistor pairs have a plurality of gate electrodes that are stacked over the substrate and electrically coupled to gate structures of the plurality of transistor pairs, and a plurality of source/drain (S/D) local interconnects that are stacked over the substrate and electrically coupled to source regions and drain regions of the plurality of transistor pairs. A sequence of vertical and lateral etch steps are performed to etch the plurality of the gate electrodes and the plurality of S/D local interconnects so that the plurality of the gate electrodes and the plurality of S/D local interconnects have a staircase configuration.
    Type: Application
    Filed: November 23, 2021
    Publication date: March 17, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11273855
    Abstract: A light emitting diode (LED) shielding and monitoring system includes multiple light emitting diodes (LEDs) (12, 14, 82, 92), multiple optical detectors (20, 84, 94) for detecting a light output of the plurality of LEDs (12, 14, 82, 92), and a LED shield (30, 110) with multiple compartments (38, 114) for receiving the multiple optical detectors (20, 84, 94). The LED shield (30, 110) is configured such that each compartment (38, 114) receives an optical detector (20, 84, 94), and wherein each compartment (38, 114) is configured such that the optical detector (20, 84, 94) within the compartment (38, 114) detects the light output of a LED (12, 14, 82, 92) of the multiple LEDs (12, 14, 82, 92) without detecting light output other than the light output of the LED (12, 14, 82, 92). Further, wayside LED signals including a LED shielding and monitoring system are provided.
    Type: Grant
    Filed: June 28, 2016
    Date of Patent: March 15, 2022
    Assignee: Siemens Mobility, Inc.
    Inventors: Axel Beier, Jeffrey Smith
  • Publication number: 20220068921
    Abstract: A first transistor tier is formed over a substrate, positioned in a first tier of the semiconductor device and includes bottom transistors extending along a horizontal direction parallel to the substrate. A first segment of a first conductive plane is formed in the first tier and adjacent to a first side of the first transistor tier, spans a height of the first transistor tier, and is connected to the first transistor tier. A second transistor tier is formed over the first transistor tier, positioned in a second tier of the semiconductor device and includes top transistors extending along the horizontal direction. A second segment of the first conductive plane is formed in the second tier and adjacent to a first side of the second transistor tier, positioned over and connected to the first segment of the first conductive plane, and spans a height of the second transistor tier.
    Type: Application
    Filed: April 5, 2021
    Publication date: March 3, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Daniel Chanemougame, Lars Liebmann, Jeffrey Smith
  • Publication number: 20220066317
    Abstract: In certain embodiments, a method for processing a semiconductor substrate includes depositing a resin film on a substrate that has microfabricated structures defining recesses. The resin film fills the recesses and covers the microfabricated structures. The method includes performing, using a photoacid generator (PAG)-based process, a localized removal of the resin film to remove the resin film to respective first depths in the recesses, at least two depths of the respective first depths being different depths. The method includes repeatedly performing, using a thermal acid generator (TAG)-based process and until a predetermined condition is met, a uniform removal of a remaining portion of the resin film to remove a substantially uniform depth of the resin film in the recesses.
    Type: Application
    Filed: December 17, 2020
    Publication date: March 3, 2022
    Inventors: Daniel Fulford, Michael Murphy, Jodi Grzeskowiak, Jeffrey Smith
  • Publication number: 20220064164
    Abstract: Provided herein are compounds and pharmaceutical compositions useful for treating meibomian gland dysfunction (MGD), comprising administering to a subject in need thereof a therapeutically effective amount of a compound of Formula (I) or a compound of Formula (I?), or pharmaceutical composition described herein.
    Type: Application
    Filed: November 23, 2020
    Publication date: March 3, 2022
    Inventors: Kelly D. BOSS, Yi FAN, Alec Nathanson FLYER, Declan HARDY, Zhihong HUANG, Kathryn Taylor LINKENS, Jon Christopher LOREN, Fupeng MA, Valentina MOLTENI, Duncan SHAW, Jeffrey SMITH, Catherine Fooks SOLOVAY
  • Patent number: 11264274
    Abstract: A first source/drain (S/D) structure of a first transistor is formed on a substrate and positioned at a first end of a first channel structure of the first transistor. A first substitute silicide layer is deposited on a surface of the first S/D structure and made of a first dielectric. A second dielectric is formed to cover the first substitute silicide layer and the first S/D structure. A first interconnect opening is formed subsequently in the second dielectric to uncover the first substitute silicide layer. The first interconnect opening is filled with a first substitute interconnect layer, where the first substitute interconnect layer is made of a third dielectric. Further, a thermal processing of the substrate is executed. The first substitute interconnect layer and the first substitute silicide layer are removed. A first silicide layer is formed on the surfaces of the first S/D structure.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Hiroaki Niimi, Jodi Grzeskowiak, Daniel Chanemougame, Lars Liebmann, Kandabara Tapily, Subhadeep Kal, Anton J. deVilliers
  • Patent number: 11264289
    Abstract: A method for microfabrication of a three dimensional transistor stack having gate-all-around field-effect transistor devices. The channels hang between source/drain regions. Each channel is selectively deposited with layers of materials designed for adjusting the threshold voltage of the channel. The layers may be oxides, high-k materials, work function materials and metallization. The three dimensional transistor stack forms an array of high threshold voltage devices and low threshold voltage devices in a single package.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Kandabara Tapily, Lars Liebmann, Daniel Chanemougame, Mark Gardner, H. Jim Fulford, Anton J. Devilliers
  • Publication number: 20220052038
    Abstract: A three-dimensional (3D) integrated circuit (IC) includes a substrate having a substrate surface, a power rail provided in the substrate, and a first tier of semiconductor devices provided in the substrate and positioned over the power rail along a thickness direction of the substrate. A wiring tier is provided in the substrate, and a second tier of semiconductor devices is provided in the substrate and positioned over the wiring tier along the thickness direction. The second tier of semiconductor devices is stacked on the first tier of semiconductor devices in the thickness direction such that the wiring tier is interposed between the first and second tiers of semiconductor devices. A first vertical interconnect structure extends downward from the wiring tier to the first tier of semiconductor devices to electrically connect the wiring tier to a device within the first tier of semiconductor devices.
    Type: Application
    Filed: October 28, 2021
    Publication date: February 17, 2022
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lars LIEBMANN, Jeffrey SMITH, Anton J. deVILLIERS
  • Publication number: 20220051905
    Abstract: Techniques herein provide thermal processing solutions applicable to both existing FINFET applications, including wrap-around contacts, as well as 3D architectures such as transistor-on-transistor and gate-on-gate monolithic or heterogeneous CFET. Techniques include heating or annealing a first target material without heating or affecting performance of a second material or other materials. Techniques include using a first heating process to heat a substrate and materials provided thereon to a first temperature, and then using a wavelength/frequency tunable second heating process to increase temperature of the target material without increasing temperature of the second material or other materials.
    Type: Application
    Filed: March 9, 2021
    Publication date: February 17, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Hiroaki NIIMI, Daniel CHANEMOUGAME, Lars LIEBMANN, H. Jim FULFORD, Mark I. GARDNER, Kandabara TAPILY, Anton J. DEVILLIERS
  • Patent number: 11251200
    Abstract: A semiconductor device includes a coaxial contact that has conductive layers extending from local interconnects and being coupled to metal layers. The local interconnects are stacked over a substrate and extend laterally along a top surface of the substrate. The metal layers are stacked over the local interconnects and extend laterally along the top surface of the substrate. The conductive layers are close-shaped and concentrically arranged, where each of the local interconnects is coupled to a corresponding conductive layer, and each of the conductive layers is coupled to a corresponding metal layer. The semiconductor device also includes insulating layers that are close-shaped, concentrically arranged, and positioned alternately with respect to the conductive layers so that the conductive layers are spaced apart from one another by the insulating layers.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: February 15, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Publication number: 20220040305
    Abstract: Formulations for highly purified viral particles (e.g., adeno-associated virus (AAV) particles) are provided herein. The formulations include purified AAV particles that are substantially free of impurities (e.g., product-related impurities and process-related impurities), and one or more of a buffering agent, a cryoprotectant, a non-ionic surfactant, and optionally a pharmaceutically acceptable salt. In certain aspects, the formulation maintains or enhances stability and/or reduces or prevents aggregation of the purified AAV particles.
    Type: Application
    Filed: August 6, 2021
    Publication date: February 10, 2022
    Applicant: JANSSEN BIOTECH, INC.
    Inventors: Brian E. TOMKOWICZ, Matthew P. ERCOLINO, Stephen T. SPAGNOL, Sakya Sing MOHAPATRA, Jeffrey SMITH
  • Publication number: 20220020642
    Abstract: Methods are disclosed that provide improved via profile control by forming atomic layer deposition (ALD) liners to protect side walls of vias during subsequent etch processes. ALD liners can be used for BEOL etch processes as well as for full self-aligned via (FSAV) processes and/or other processes. For one embodiment, ALD liners are used as protection or sacrificial layers for vias to reduce damage during multilayer via or trench etch processes. The ALD liners can also be deposited at different points within process flows, for example, before or after removal of organic planarization layers. The use of ALD liners facilitates shrinking of via critical dimensions (CDs) while still controlling via profiles for various process applications including dual Damascene processes and FSAV processes. In addition, the use of ALD liners improves overall CD control for via or hole formation as well as device yield and reliability.
    Type: Application
    Filed: September 28, 2021
    Publication date: January 20, 2022
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Xinghua Sun, Yen-Tien Lu, Angelique Raley, David O'meara, Jeffrey Smith
  • Patent number: 11224416
    Abstract: A surgical tissue connector system for moving a first internal body tissue to a position away from a second internal body tissue and then holding the first internal body tissue in the position. Tissue connectors are secured to cords such that the length of cord between the tissue connectors can be easily adjusted in a laparoscopic work space.
    Type: Grant
    Filed: January 7, 2020
    Date of Patent: January 18, 2022
    Assignee: Freehold Surgical, LLC
    Inventors: J. Stephen Scott, Jeffrey Smith
  • Patent number: 11217583
    Abstract: A semiconductor device is provided. The device includes a plurality of transistor pairs that are stacked over a substrate. Each of the plurality of transistor pairs includes a n-type transistor and a p-type transistor that are stacked over one another. The device also includes a plurality of gate electrodes that are stacked over the substrate with a staircase configuration. The plurality of gate electrodes are electrically coupled to gate structures of the plurality of transistor pairs. The device further includes a plurality of source/drain (S/D) local interconnects that are stacked over the substrate with a staircase configuration. The plurality of S/D local interconnects are electrically coupled to source regions and drain regions of the plurality of transistor pairs.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: January 4, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11198997
    Abstract: A urinal assembly having a frame and a plurality of posts or posts extending from the frame. The frame can include a plurality of openings. The openings can be defined by a plurality of sides and corners. The posts can extend from the corners and/or from the sides of the openings. In some embodiments, posts extend from a first face and a second face of the frame.
    Type: Grant
    Filed: October 29, 2019
    Date of Patent: December 14, 2021
    Assignee: Fresh Products, Inc.
    Inventors: Douglas S. Brown, Jeffrey A. Smith
  • Patent number: 11201148
    Abstract: A three-dimensional (3D) integrated circuit (IC) includes a substrate having a substrate surface, a power rail provided in the substrate, and a first tier of semiconductor devices provided in the substrate and positioned over the power rail along a thickness direction of the substrate. A wiring tier is provided in the substrate, and a second tier of semiconductor devices is provided in the substrate and positioned over the wiring tier along the thickness direction. The second tier of semiconductor devices is stacked on the first tier of semiconductor devices in the thickness direction such that the wiring tier is interposed between the first and second tiers of semiconductor devices. A first vertical interconnect structure extends downward from the wiring tier to the first tier of semiconductor devices to electrically connect the wiring tier to a device within the first tier of semiconductor devices.
    Type: Grant
    Filed: October 29, 2019
    Date of Patent: December 14, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers
  • Patent number: D937748
    Type: Grant
    Filed: March 3, 2020
    Date of Patent: December 7, 2021
    Assignee: Harley-Davidson Motor Company Group, LLC
    Inventors: Ben McGinley, Frank Savage, Brad Richards, Scott Matthews, Alexander John Bozmoski, Jeffrey Smith, Terry Rumpel, Michael Case, Kyle Wick, Michael Carlin, Matthew Mueller