Patents by Inventor Jeffrey Meng

Jeffrey Meng has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11970479
    Abstract: The present invention is directed to cinnolinyl and quinolinyl pyrazol-4-yl-pyridine compounds which are allosteric modulators of the M4 muscarinic acetylcholine receptor. The present invention is also directed to uses of the compounds described herein in the potential treatment or prevention of neurological and psychiatric disorders and diseases in which M4 muscarinic acetylcholine receptors are involved. The present invention is also directed to compositions comprising these compounds. The present invention is also directed to uses of these compositions in the potential prevention or treatment of such diseases in which M4 muscarinic acetylcholine receptors are involved.
    Type: Grant
    Filed: October 24, 2019
    Date of Patent: April 30, 2024
    Assignees: Merck Sharp & Dohme LLC, MSD R&D (China) Co. LTD.
    Inventors: John J. Acton, III, Melissa Egbertson, Xiaolei Gao, Scott T. Harrison, Timothy J. Henderson, Michael Man-Chu Lo, Robert D. Mazzola, Jr., Zhaoyang Meng, James Mulhearn, Vanessa L. Rada, Jeffrey W. Schubert, Oleg B. Selyutin, David M. Tellers, Ling Tong, Fengqi Zhang, Jianming Bao, Chunsing Li
  • Patent number: 11957633
    Abstract: A patient transport apparatus transports a patient over a floor surface. The patient transport apparatus includes a support structure and a drive wheel assembly having at least one drive wheel, a powered drive system coupled to the at least one drive wheel, and at least two user input devices. The apparatus also includes a controller coupled to the powered drive system and user input devices configured to determine which one of the user input devices is active and operable to cause the powered drive system to rotate the drive wheel and to maintain the other user input device as inactive, or passive.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: April 16, 2024
    Assignee: Stryker Corporation
    Inventors: Fanqi Meng, Jeffrey S. Dunfee, II, Richard A. Derenne, Anish Paul
  • Patent number: 11944577
    Abstract: A patient transport apparatus transports a patient over a floor surface. The patient transport apparatus comprises a support structure and support wheels coupled to the support structure. An auxiliary wheel is coupled to the support structure to influence motion of the patient transport apparatus over the floor surface to assist users. An actuator is operatively coupled to the auxiliary wheel and operable to move the auxiliary wheel relative to the support structure from a retracted position to a deployed position. A user interface sensor is operatively connected to the actuator and configured to generate signals responsive to the user touching the user interface. A controller is operatively coupled to the user interface sensor and the actuator to operate the actuator in response to detection of signals.
    Type: Grant
    Filed: December 20, 2022
    Date of Patent: April 2, 2024
    Assignee: Stryker Corporation
    Inventors: Anish Paul, Richard A. Derenne, Fanqi Meng, Krishna Sandeep Bhimavarapu, Jeffrey Alan Kennedy
  • Patent number: 11918686
    Abstract: A submicron structure comprising a silica body defining a plurality of pores that are suitable to receive molecules therein, and having a surface, and a phospholipid bilayer coating the surface, wherein said submicron structure has a maximum dimension of less than one micron, and wherein the phospholipid bilayer stably seals the plurality of pores; and wherein the submicron structure is a member of a monodisperse population of submicron structures.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: March 5, 2024
    Assignee: The Regents of the University of California
    Inventors: Andre E. Nel, Jeffrey I. Zink, Huan Meng
  • Patent number: 10584663
    Abstract: An engine off natural vacuum (EONV) evaporative fuel leak check system includes a fuel tank, a heat exchanger, an air duct, a fan, a fuel temperature sensor, and a controller. The fan directs air onto the heat exchanger, and the heat exchanger heats air passing around the heat exchanger in a heat exchange process using heat from engine coolant within the heat exchanger. The air duct extends between the fuel tank and the heat exchanger and the fan moves the heated air through the air duct toward the fuel tank. The controller is configured to control the fan for moving the heated air toward the fuel tank based on a temperature of the fuel within the fuel tank, as detected by the fuel temperature sensor.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: March 10, 2020
    Assignees: DENSO International America, Inc., DENSO CORPORATION
    Inventors: Taiki Yasuzaka, Shigeru Hasegawa, Jeffrey Meng
  • Patent number: 7587582
    Abstract: A method and apparatus for efficiently performing graphic operations are provided. This is accomplished by providing a processor that supports any combination of the following instructions: parallel multiply-add, conditional pick, parallel averaging, parallel power, parallel reciprocal square root and parallel shifts.
    Type: Grant
    Filed: August 16, 2000
    Date of Patent: September 8, 2009
    Assignee: Sun Microsystems, Inc.
    Inventors: Subramania Sudharsanan, Jeffrey Meng Wah Chan, Michael F. Deering, Marc Tremblay, Scott R. Nelson
  • Patent number: 7042466
    Abstract: A method and apparatus for performing fast clip-testing operations in a general purpose processor are provided. This is accomplished by executing a single instruction for comparing a first value x to a second value y and, as a result of the comparison, determining whether x is less than y and whether x is less than negative y. The values x and y are stored in respective source registers of the processor specified by the instruction. Finally, as a result of the determination, one or more binary values representing the results of the determination are inserted into a destination register of the processor also specified by the instruction. Accordingly, the invention advantageously provides a general purpose processor with the ability to execute a clip-testing function with a single instruction compared with prior art general purpose processors that require multiple instructions to perform the same function.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: May 9, 2006
    Assignee: Sun Microsystems, Inc.
    Inventors: Jeffrey Meng Wah Chan, Michael F. Deering
  • Patent number: 6757820
    Abstract: A method and apparatus for performing single-instruction bit field extraction and for counting a number of leading zeros in a sequence of bits on a general purpose processor are provided. The fast bit extraction operations are accomplished by executing a first instruction for extracting an arbitrary number of bits of a sequence of bits stored in two or more source registers of the processor starting at an arbitrary offset and the storing the extracted bits in a destination register. Both the source and the destination registers are specified by the instruction. In addition, a second instruction is provided for counting the number of leading zeros in a sequence of bits stored in two or more source registers of the processor and then storing a binary value representing the number of leading zeros in a destination register. Again the source and the destination registers are specified by the second instruction.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: June 29, 2004
    Assignee: Sun Microsystems, Inc.
    Inventors: Subramania Sudharsanan, Jeffrey Meng Wah Chan, Marc Tremblay
  • Patent number: 6671796
    Abstract: A method and apparatus are provided for performing efficient conversion operations between floating point and fixed point values on a general purpose processor. This is achieved by providing an instruction for converting a fixed point value fx into a floating point value fl in a general purpose processor. Accordingly, the invention advantageously provides a general purpose processor with the ability to execute conversion operation between fixed-point and floating-point values with a single instruction compared with prior art general purpose processors that require multiple instructions to perform the same function. Thus, the general purpose processor of the present invention allows for more efficient and faster conversion operations between fixed-point and floating-point values.
    Type: Grant
    Filed: February 25, 2000
    Date of Patent: December 30, 2003
    Assignee: Sun Microsystems, Inc.
    Inventors: Subramania Sudharsanan, Jeffrey Meng Wah Chan, Michael F. Deering, Marc Tremblay, Scott R. Nelson
  • Publication number: 20030120904
    Abstract: A method and apparatus for performing single-instruction bit field extraction and for counting a number of leading zeros in a sequence of bits on a general purpose processor are provided. The fast bit extraction operations are accomplished by executing a first instruction for extracting an arbitrary number of bits of a sequence of bits stored in two or more source registers of the processor starting at an arbitrary offset and the storing the extracted bits in a destination register. Both the source and the destination registers are specified by the instruction. In addition, a second instruction is provided for counting the number of leading zeros in a sequence of bits stored in two or more source registers of the processor and then storing a binary value representing the number of leading zeros in a destination register. Again the source and the destination registers are specified by the second instruction.
    Type: Application
    Filed: January 31, 2003
    Publication date: June 26, 2003
    Applicant: Sun Microsystems, Inc.
    Inventors: Subramania Sudharsanan, Jeffrey Meng Wah Chan, Marc Tremblay
  • Patent number: 6542988
    Abstract: A processor performs precise trap handling for out-of-order and speculative load instructions. It keeps track of the age of load instructions in a shared scheme that includes a load buffer and a load annex. All precise exceptions are detected in a T phase of a load pipeline. Data and control information concerning load operations that hit in the data cache are staged in a load annex during the A1, A2, A3, and T pipeline stages until all exceptions in the same or earlier instruction packet are detected. Data and control information from all other load instructions is staged in the load annex after the load data is retrieved. Before the load data is retrieved, the load instruction is kept in a load buffer. If an exception occurs, any load in the same instruction packet as the instruction causing the exception is canceled. Any load instructions that are “younger” than the instruction that caused the exception are also canceled.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: April 1, 2003
    Assignee: Sun Microsystems, Inc.
    Inventors: Marc Tremblay, Jeffrey Meng Wah Chan, Subramania Sudharsanan, Sharada Yeluri, Biyu Pan
  • Patent number: 6407740
    Abstract: Incoming geometry data are buffered in one or more buffers. The data are written to the buffers in an order which is not necessarily the order in which a processor or processors that construct images from the data need the data for fast processing. The data are provided to the processors in the order needed for fast processing. In some embodiments, fast processing involves starting critical path computations early. Examples of critical path computations are lighting computations which take more time than position computations. At least one processor has a pipelined instruction execution unit. The processor executes critical path computation instructions as long as a critical path instruction can be started without causing a pipeline stall. When no critical path instructions can be started without causing a stall, the processor starts a non-critical path instruction.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: June 18, 2002
    Assignee: Sun Microsystems, Inc.
    Inventor: Jeffrey Meng Wah Chan
  • Publication number: 20020046334
    Abstract: When an atomic instruction executed by a computer processor locks a memory location, the locking is performed before the processor has determined whether the instruction is to be executed to completion or canceled. The memory location is unlocked whether or not the instruction will be canceled. Since the locking operation can occur before it is known whether the instruction will be canceled, the reading of the memory location can also occur early, before it is known whether the instruction will be canceled.
    Type: Application
    Filed: August 28, 2001
    Publication date: April 18, 2002
    Inventors: Jeffrey Meng Wah Chan, Marc Tremblay
  • Patent number: 6282637
    Abstract: When an atomic instruction executed by a computer processor locks a memory location, the locking is performed before the processor has determined whether the instruction is to be executed to completion or canceled. The memory location is unlocked whether or not the instruction will be canceled. Since the locking operation can occur before it is known whether the instruction will be canceled, the reading of the memory location can also occur early, before it is known whether the instruction will be canceled.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: August 28, 2001
    Assignee: Sun Microsystems, Inc.
    Inventors: Jeffrey Meng Wah Chan, Marc Tremblay
  • Patent number: 6163837
    Abstract: Two instruction executions circuits C1 and C2, possibly pipelined, share a write port to write instruction results to their destinations. When both circuits have results available for writing in the same clock cycle, the write port is given to circuit C1. Circuit C2 gets the write port only when there is a bubble in the write back stage of circuit C1. Circuit C2 executes instructions that occur infrequently in an average program. Examples are division, reciprocal square root, and power computation instructions. Circuit C1 executes instructions that occur more frequently. Circuits C1 and C2 are part of a functional unit of a VLIW processor.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: December 19, 2000
    Assignee: Sun Microsystems, Inc.
    Inventors: Jeffrey Meng Wah Chan, Subramania Sudharsanan, Marc Tremblay