Patents by Inventor Junjing Bao

Junjing Bao has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240404872
    Abstract: Disclosed are devices that include a direct N/P local interconnect with minimal recess on shallow trench isolation (STI) oxide. This reduces undesirable coupling capacitance with active gate, which in turn improves AC performance of the device. Pull or even partial replacement of STI oxide with low-k dielectric can further reduce coupling capacitance.
    Type: Application
    Filed: June 1, 2023
    Publication date: December 5, 2024
    Inventors: Junjing BAO, Haining YANG, Ming-Huei LIN
  • Publication number: 20240379679
    Abstract: A 3D dual complementary-circuit structure includes a first forksheet structure stacked on a first side of, in a first direction, a second forksheet structure to provide two complementary circuits in a space of a single forksheet structure. A dividing wall bisects at least one semiconductor slab in the first forksheet structure into a first slab portion with a first semiconductor type and a second slab portion with a second semiconductor type and also bisects at least one semiconductor slab in the second forksheet structure into a third slab portion with a third semiconductor type and a fourth slab portion with a fourth semiconductor type. One of the second semiconductor type, the third semiconductor type, and the fourth semiconductor type may be a same semiconductor type as the first semiconductor type. Two complementary metal oxide semiconductor (CMOS) circuits may be formed in the area of a single forksheet structure.
    Type: Application
    Filed: May 9, 2023
    Publication date: November 14, 2024
    Inventors: Xia Li, Junjing Bao, Jun Yuan
  • Publication number: 20240379770
    Abstract: A self-aligned contact (SAC) and method for making the same is disclosed. In an aspect a field effect transistor (FET) structure comprises a channel connecting a first source or drain (S/D) region to a second S/D region, a gate structure, comprising a multi-layer metal gate between gate spacers, disposed above a gate region that at least partially surrounds the channel, a self-alignment structure, also referred to as a “hat”, disposed above the gate structure and covering at least the multi-layer metal gate and the gate spacers, and a first S/D contact that is self-aligned to the hat and connected to the first S/D region. During fabrication, a self-assembly monolayer (SAM) is used to precisely align the hat over the multi-layer metal gate. The S/D contacts are then self-aligned to the hat, even if the etch mask has an overlay error. The hat also shields the gate structure during an etch.
    Type: Application
    Filed: May 12, 2023
    Publication date: November 14, 2024
    Inventors: Xia LI, Junjing BAO, John Jianhong ZHU
  • Publication number: 20240363690
    Abstract: Gate-all-around (GAA) field-effect transistor (FET) device employing strain material structure in inactive gate region(s) of a gate for applying channel strain to the channel(s) of the GAA FET for increased carrier mobility. The GAA FET device includes a GAA P-type (P) FET (PFET) and a GAA N-type (N) FET (NFET) served by a gate with a strain material in the inactive gate region(s) of the gate adjacent to the active gates of the GAA NFET and GAA PFET. In this manner, the strain material applies strain to both the GAA NFET and GAA PFET channels in the elongated direction of the gate in a direction orthogonal to their channel directions between the respective sources and drains, so that a strain material of the same strain type can be used to increase carrier mobility of both the GAA NFET and GAA PFET alike.
    Type: Application
    Filed: April 25, 2023
    Publication date: October 31, 2024
    Inventors: Haining Yang, Ming-Huei Lin, Junjing Bao
  • Publication number: 20240321631
    Abstract: An integrated circuit (IC) includes back-end-of-line (BEOL) interconnects in a first intermetal dielectric (IMD) layer on a substrate. The IC also includes second BEOL interconnects on the first IMD layer, coupled to the first BEOL interconnects through first BEOL vias in the first IMD layer. The IC further includes a second IMD layer on the second BEOL interconnects to seal airgaps between the plurality of second BEOL interconnects. The IC also includes etch stop spacers on portions of sidewalls of the second BEOL interconnects to separate the portions of the sidewalls from the second IMD layer. The IC further includes third BEOL interconnects on the second IMD layer and coupled to one or more of the second BEOL interconnects through second BEOL vias in the second IMD layer.
    Type: Application
    Filed: March 24, 2023
    Publication date: September 26, 2024
    Inventors: Xia LI, Junjing BAO, Bin YANG, Biswa Ranjan PANDA, Ramesh MANCHANA
  • Publication number: 20240321860
    Abstract: Logic circuits are implemented in row cell circuits that include diffusion regions. Each diffusion region portion is employed by a transistor in a cell circuit. A current capacity of each transistor depends on a width of the diffusion region portion. A first diffusion region portion and a second diffusion region portion having different widths intersect along an axis, where the diffusion region of a row cell circuit abruptly transitions (e.g., at a square corner) in width. A gate disposed over the diffusion region along the intersection includes a first side on the first diffusion region portion and a second side on the second diffusion region portion. The transition occurring between the first side and the second side of the gate may be achieved by square corner features formed in the diffusion region. Such features were not previously achievable at small technology nodes due to mask pattern limitations.
    Type: Application
    Filed: March 23, 2023
    Publication date: September 26, 2024
    Inventors: Haining Yang, Junjing Bao, Hyunwoo Park, Kwanyong Lim
  • Publication number: 20240321861
    Abstract: A logic circuit includes a first circuit having a first diffusion region and a second diffusion region and a second circuit having a third diffusion region, and a fourth diffusion region. First devices in the first circuit each include a portion of the first diffusion region and a portion of the second diffusion region. Second devices in the second circuit each include portions of the third and fourth diffusion regions. The first diffusion region is between the second diffusion region and the third diffusion region. The third diffusion region is between the first diffusion region and the fourth diffusion region. A second distance from a first side of the fourth diffusion region to a second side of the third diffusion region is less than a first distance from a first side of the first diffusion region to a second side of the second diffusion region.
    Type: Application
    Filed: March 24, 2023
    Publication date: September 26, 2024
    Inventors: Haining Yang, Hyunwoo Park, Ming-Huei Lin, Junjing Bao
  • Publication number: 20240321965
    Abstract: Disclosed are devices that include a contact for electrical connection with a source/drain. The contact occupies a full width of a contact well other than areas occupied by sidewall spacers. As a result, high resistivity (due to the presence of liners and nucleation layers within the contact well in conventional devices) is reduced or eliminated.
    Type: Application
    Filed: March 24, 2023
    Publication date: September 26, 2024
    Inventors: Junjing BAO, Xia LI, Chih-Sung YANG, Kwanyong LIM, Ming-Huei LIN, Hyunwoo PARK, Haining YANG
  • Publication number: 20240297218
    Abstract: Disclosed are techniques for a semiconductor structure. In an aspect, a semiconductor structure includes a first gate structure disposed on a substrate and having a first channel length; a second gate structure disposed on the substrate and having the first channel length, a first source/drain space between the first gate structure and the second gate structure having a first distance; a third gate structure disposed on the substrate and having a second channel length; and a fourth gate structure disposed on the substrate and having the second channel length, a second source/drain space between the third gate structure and the fourth gate structure having a second distance. In an aspect, the second distance ranges from 0.75 times to 1.25 times the first distance.
    Type: Application
    Filed: March 3, 2023
    Publication date: September 5, 2024
    Inventors: Kwanyong LIM, Hyunwoo PARK, Junjing BAO, Haining YANG
  • Patent number: 12068238
    Abstract: A metal oxide metal (MOM) capacitor and methods for fabricating the same are disclosed. The MOM capacitor includes a first metal layer having a first plurality of fingers, each of the first plurality of fingers configured to have alternating polarities. A high resistance (Hi-R) conductor layer is disposed adjacent the first metal layer in a plane parallel to the first metal layer.
    Type: Grant
    Filed: April 19, 2021
    Date of Patent: August 20, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: John Jianhong Zhu, Junjing Bao, Haining Yang
  • Publication number: 20240266217
    Abstract: Disclosed are techniques for a semiconductor structure. In an aspect, a semiconductor structure includes a gate structure disposed on a substrate, a gate spacer adjacent to the gate structure, a source/drain structure adjacent to the gate spacer, a first dielectric layer disposed on the substrate and the source/drain structure, an etch stop spacer over the first dielectric layer and adjacent to the gate spacer, and an etch stop layer over the gate structure, the gate spacer, and the etch stop spacer. The semiconductor structure further includes a source/drain contact extending through the etch stop layer and the first dielectric layer and in contact with the source/drain structure, a sidewall of the source/drain contact adjoining a sidewall of the etch stop layer and a sidewall of the etch stop spacer.
    Type: Application
    Filed: February 8, 2023
    Publication date: August 8, 2024
    Inventors: Junjing BAO, Haining YANG, Hyunwoo PARK, Kwanyong LIM, Ming-Huei LIN
  • Patent number: 12057394
    Abstract: Three-dimensional (3D) interconnect structures employing via layer conductive structures in via layers are disclosed. The via layer conductive structures in a signal path in an interconnect structure are disposed in respective via layers adjacent to metal lines in metal layers. The via layer conductive structures increase the conductive cross-sections of signal paths between devices in an integrated circuit (IC) or to/from an external contact. The via layer conductive structures provide one or both of supplementing the height dimensions of metal lines and electrically coupling metal lines in the same or different metal layers to increase the conductive cross-section of a signal path. The increased conductive cross-section reduces current-resistance (IR) drop of signals and increases signal speed. As metal track pitches are reduced in size, signal path resistance increases. The via layer conductive structures are provided to reduce or avoid an even greater increase in resistance in the signal paths.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: August 6, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: Xia Li, Junjing Bao, Bin Yang
  • Publication number: 20240243131
    Abstract: A fin field effect transistor (FinFET) is described. The FinFET includes a substrate and a shallow trench isolation (STI) region on the substrate. The FinFET also includes a first fin structure on the substrate and extending through the STI region. The FinFET further includes a second fin structure on the substrate and extending through the STI region. The FinFET also includes a metal gate on the STI region, on the first fin structure, and on the second fin structure. The metal gate is composed of a first sub-metal gate cut line filled with a first stressor material, and a second sub-metal gate cut line filled with a second stressor material different from the first stressor material.
    Type: Application
    Filed: January 18, 2023
    Publication date: July 18, 2024
    Inventors: Ming-Huei LIN, Haining YANG, Junjing BAO
  • Publication number: 20240204109
    Abstract: Disclosed are complementary field effect transistors (CFETs) with balanced n and p drive current, and methods for making the same. In an aspect, a CFET structure comprises an nFET with horizontal p-doped nanosheet channels arranged in a first vertical stack, each horizontal p-doped nanosheet channel having a width W1, and connecting a first source contact to a first drain contact through a first gate-all-around (GAA) region having a length L1. The CFET structure further comprises a pFET with horizontal n-doped nanosheet channels arranged in a second vertical stack disposed on the first vertical stack, each horizontal n-doped nanosheet channel having a width W2, and connecting a second source contact to a second drain contact through a second GAA region having a length L2, wherein W2/L2 is not equal to W1/L1.
    Type: Application
    Filed: December 20, 2022
    Publication date: June 20, 2024
    Inventors: Xia LI, Junjing BAO, Giridhar NALLAPATI
  • Publication number: 20240203866
    Abstract: Integrated circuits (ICs), including capacitors and inductors, employing directly coupled metal lines between vertically-adjacent interconnect layers for reduced coupling resistance, and related fabrication methods. By directly coupled, it is meant that there is not an intermediate vertical interconnect access (via) layer with a via(s) interconnecting the metal lines in vertically-adjacent interconnect layers. An overlying and underlying metal line in respective and vertically-adjacent overlying and underlying interconnect layers are directly coupled to each other without the need for an intermediate via layer. For example, directly coupled metal in adjacent interconnect layers of IC can reduce contact resistance between the metal lines and reduce the overall height of the IC.
    Type: Application
    Filed: February 28, 2024
    Publication date: June 20, 2024
    Inventors: John Jianhong Zhu, Junjing Bao, Giridhar Nallapati
  • Patent number: 11942414
    Abstract: Integrated circuits (ICs), including capacitors and inductors, employing directly coupled metal lines between vertically-adjacent interconnect layers for reduced coupling resistance, and related fabrication methods. By directly coupled, it is meant that there is not an intermediate vertical interconnect access (via) layer with a via(s) interconnecting the metal lines in vertically-adjacent interconnect layers. An overlying and underlying metal line in respective and vertically-adjacent overlying and underlying interconnect layers are directly coupled to each other without the need for an intermediate via layer. For example, directly coupled metal in adjacent interconnect layers of IC can reduce contact resistance between the metal lines and reduce the overall height of the IC.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: March 26, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: John Jianhong Zhu, Junjing Bao, Giridhar Nallapati
  • Publication number: 20240096964
    Abstract: Vertical channel field-effect transistors (VCFETs) with reduced contact resistance and/or parasitic capacitance, and related fabrication methods. In exemplary aspects, to reduce contact resistance of the VCFET, an end portion of the vertical channel has a semiconductor structure that has an expanded width in the horizontal direction parallel to the substrate surface. This provides a greater area to form a contact for a source/drain to reduce contact resistance of the VCFET. To reduce the parasitic capacitance between the gate and a contact of the VCFET, the spacer includes one or more air gaps that form an air spacer(s) between the gate and the contact to reduce the overall average permittivity of the spacer. In one example, the air spacer(s) of the VCFET is elongated in the horizontal direction parallel to the substrate surface (and perpendicular to the vertical direction of the vertical channel) to further reduce the parasitic capacitance.
    Type: Application
    Filed: September 20, 2022
    Publication date: March 21, 2024
    Inventors: Junjing Bao, Xia Li, Giridhar Nallapati
  • Publication number: 20240096698
    Abstract: In an aspect, a transistor comprises a gate structure having a metal gate, a dielectric layer at least partially surrounding the metal gate, a metal cap over a portion of the metal gate that is not surrounded by the dielectric layer, and a gate contact comprising tungsten in direct contact with the metal cap. In another aspect, a transistor comprises source, drain, and channel regions, a gate structure comprising a metal gate between gate spacers above the channel region, and a source or drain (S/D) contact structure. The S/D contact structure comprises an S/D barrier layer above at least a portion of the source or drain region and in direct contact with a gate spacer, and an S/D contact, comprising a first portion above the S/D barrier layer; and a second portion comprising tungsten, above the first portion.
    Type: Application
    Filed: September 20, 2022
    Publication date: March 21, 2024
    Inventors: Junjing BAO, Chih-Sung YANG, Haining YANG
  • Patent number: 11901427
    Abstract: In an aspect, a semiconductor device includes a gate. The gate includes a first portion that is located on one end of the gate, a second portion that is located on an opposite end of the gate from the first portion, and a third portion that is located in-between the first portion and the second portion. A first cap located on top of the first portion. A second cap located on top of the second portion. The third portion is capless. A gate contact is located on top of the third portion.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: February 13, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: Haining Yang, Junjing Bao
  • Patent number: 11901434
    Abstract: In some aspects, a semiconductor die includes an insulation layer disposed on a substrate, a gate spacer disposed in the insulation layer, a gate disposed between the gate spacer, a first dielectric gate layer disposed on the gate between the gate spacer, a second dielectric gate layer disposed on the first dielectric gate layer between the gate spacer, a gate contact coupled to the gate and in contact with the first dielectric gate layer and the second dielectric gate layer, and a source/drain contact that has a single inner spacer.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: February 13, 2024
    Assignee: QUALCOMM Incorporated
    Inventors: Junjing Bao, Haining Yang, Youseok Suh