Patents by Inventor Li-chih Chao

Li-chih Chao has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7253112
    Abstract: A method of fabricating semiconductor devices using dual damascene processes to form plugs in the via holes composed of various high etch materials and bottom anti-reflection coating (BARC) materials. After via hole etch, a layer of high etch rate plug material is spin coated to fill the via holes. Next, a layer of photoresist is applied. The photoresist is then exposed through a mask and developed to form an etch opening. Using the remaining photoresist as an etch mask and with a bottom anti-reflection coating (BARC) as protection, the oxide or low k layer is etched to form subsequent wiring. The etch step is known as a damascene etch step. The remaining photoresist is removed and the trench/via openings are filled with metal forming inlaid metal interconnect wiring and contact vias.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bang-Chien Ho, Jian-Hong Chen, Tsang-Jiuh Wu, Li-Te Lin, Li-Chih Chao, Hua-Tai Lin, Shyue-Sheng Lu
  • Publication number: 20050014362
    Abstract: A method of fabricating semiconductor devices using dual damascene processes to form plugs in the via holes composed of various high etch materials and bottom anti-reflection coating (BARC) materials. After via hole etch, a layer of high etch rate plug material is spin coated to fill the via holes. Next, a layer of photoresist is applied. The photoresist is then exposed through a mask and developed to form an etch opening. Using the remaining photoresist as an etch mask and with a bottom anti-reflection coating (BARC) as protection, the oxide or low k layer is etched to form subsequent wiring. The etch step is known as a damascene etch step. The remaining photoresist is removed and the trench/via openings are filled with metal forming inlaid metal interconnect wiring and contact vias.
    Type: Application
    Filed: August 10, 2004
    Publication date: January 20, 2005
    Inventors: Bang-Chien Ho, Jian-Hong Chen, Tsang-Jiuh Wu, Li-Te Lin, Li-Chih Chao, Hua-Tai Lin, Shyue-Sheng Lu
  • Patent number: 6797630
    Abstract: A method for forming a dual damascene opening comprising the following steps. A structure having an exposed conductive structure formed therein is provided. An etch stop layer is formed over the structure and the exposed conductive structure. A dielectric layer is formed over the etch stop layer. A hard mask layer is formed over the dielectric layer. The hard mask layer is patterned to form a partially opened hard mask layer. The partially opened hard mask layer having a trench area and a via area. The partially opened hard mask layer within the via area is patterned to form a partial via opened hard mask layer. Simultaneously, the partial via opened hard mask layer within both the trench area and the via area are etched and removed, and the dielectric layer within the via area is partial etched to form a partially opened dielectric layer to: expose a portion of dielectric layer within the trench area; and form a partial via within the partially opened dielectric layer.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: September 28, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsang-Jiuh Wu, Chen-Nan Yeh, Li-Te S. Lin, Li-Chih Chao
  • Patent number: 6743732
    Abstract: A plasma etch process for organic low-k dielectric layers using NH3 only, or NH3/H2 or NH3/H2 gases. A low k dielectric layer is formed over a substrate. A masking pattern is formed over the low k dielectric layer. The masking pattern has an opening. Using the invention's etch process, the low k dielectric layer is etched through the opening using the masking pattern as an etch mask. In a first embodiment, the etch process comprises: etching the low k dielectric layer by applying a plasma power and flowing only NH3 gas. In a second embodiment, the etch process comprises: etching the low k dielectric layer by applying a plasma power and flowing only NH3/H2 gas. In a third embodiment, the etch process comprises: etching the low k dielectric layer by applying a plasma power and flowing only NH3/N2 gas. The invention's NH3 containing plasma etch etches organic Low k materials unexpectedly fast.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: June 1, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-Te Lin, Li-Chih Chao, Chia-Shiung Tsai
  • Patent number: 6727183
    Abstract: A novel etching method for preventing spiking and undercutting of an ultra low-k material layer in damascene metallization is described. A region to be contacted is provided in or on a semiconductor substrate. A liner layer is deposited overlying the region to be contacted. An ultra low-k dielectric layer is deposited overlying the liner layer. A damascene opening is etched through the ultra low-k dielectric layer to the liner layer overlying the region to be contacted wherein this etching comprises a high F/C ratio etch chemistry, high power, and low pressure. The liner layer within the damascene opening is etched away to expose the region to be contacted wherein this etching comprises a high F/C ratio etch chemistry, low power, and low pressure to complete formation of a damascene opening in the fabrication of an integrated circuit device.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: April 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ching-Hui Ma, Jen-Cheng Liu, Li-Chih Chao
  • Patent number: 6720256
    Abstract: An improved method of patterning photoresist during formation of damascene structures is provided which involves a process that is resistant to poisoning from adjacent layers. An inert resin is used to fill vias in a damascene stack. Then a second stack comprised of an underlayer, a non-photosensitive Si-containing layer, an ARC, and a photoresist are formed on the first stack. A trench pattern formed in the photoresist is etch transferred into the first stack. The Si-containing layer that is preferably a spin-on material can be optimized for thermal and etch resistance without compromising lithographic properties since it is not photosensitive. The state of the art photoresist provides a large process window for printing small features with no scum. The inert resin, underlayer, and silicon containing layers are independent of exposure wavelength and can be readily implemented into existing or future manufacturing schemes.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: April 13, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Tsang-Jiuh Wu, Li-Te S. Lin, Li-Chih Chao
  • Publication number: 20030155329
    Abstract: A method for plasma etching is disclosed with improved etching selectivity for a nitride containing DARC and a low-k dielectric layer. Plasma chemistry is controlled by adjusting a nitrogen to oxygen ratio to achieve improved etching selectivity in both nitride containing and low-k dielectric layers. Nitrogen to oxygen ratios are adjusted to control etching of for example, a DARC nitride containing layer, and Carbon to fluorine ratios are additionally adjusted to control etching in a low-k dielectric layer.
    Type: Application
    Filed: February 15, 2002
    Publication date: August 21, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Nien Su, Jen-Cheng Liu, Li-Chih Chao
  • Patent number: 6551938
    Abstract: A method of bi-layer top surface imaging, comprising the following steps. A structure having a lower layer formed thereover is provided. An upper silicon-containing photoresist layer is formed upon the lower layer. The upper silicon-containing photoresist layer is selectively exposed to form upper silicon-containing photoresist layer exposed portions. The upper silicon-containing photoresist layer exposed portions and the portions of the lower layer below the upper silicon-containing photoresist layer exposed portions are removed using an O2-free N2/H2 plasma etch.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: April 22, 2003
    Assignee: Taiwon Semiconductor Manufacturing Company
    Inventors: Tsang-Jiuh Wu, Li-Te S. Lin, Li-Chih Chao
  • Patent number: 6495469
    Abstract: A method for etching a dielectric layer comprising the following steps. A structure having a low-k dielectric layer formed thereover is provided. A DARC layer is formed over the low-k dielectric layer. A patterned masking layer is formed over the DARC layer. Using the patterned masking layer as a mask, the DARC layer and the low-k dielectric layer are etched employing an CHxFy/O2/N2/Ar etch chemistry.
    Type: Grant
    Filed: December 3, 2001
    Date of Patent: December 17, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jiing-Feng Yang, Li-Te S. Lin, Li-Chih Chao
  • Patent number: 6457477
    Abstract: A method of cleaning a low-k material etched opening, comprising the following steps. A semiconductor structure having an exposed device therein is provided. An etch stop layer is formed over the semiconductor structure and the exposed device. A layer of low-k material is formed over the etch stop layer semiconductor structure and device. A patterned layer of photoresist is formed over the low-k material layer. The patterned photoresist layer is used as a mask to etch low-k material layer is etched to form an opening exposing at least a portion of the etch stop layer over the device. The patterned photoresist layer is removed by a low temperature ashing process at a temperature from about 23 to 27° C., and more preferably about 25° C. (room temperature). The exposed portion of the etch stop layer over the device is removed to expose the underlying device by a low pressure, low bias etching process at a pressure from about 8 to 12 milli-Torr and a bias power from about 25 to 35 W.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: October 1, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Bao-Ru Young, Li-Chih Chao, Shwangming Jeng, Chi-Shiung Tsai
  • Patent number: 6458650
    Abstract: A new method is provided for the creation of an opening over which the second electrode of a MIM capacitor is to be deposited. The first electrode of the MIM is created in a first layer of Fluorine doped Silicon dioxide (SiO2) Glass (FSG) . A layer of insulation comprising silicon nitride is deposited over the surface of the first electrode. A second layer of Fluorine doped Silicon dioxide (SiO2) Glass (FSG) is deposited over the surface of the layer of silicon nitride, an etch stop layer of silicon nitride is deposited over the surface of the second layer of FSG. The layers of etch stop and the second layer of FSG are patterned and etched using a dry etch, stopping on the layer-of insulation and exposing the surface of the layer of insulation. Next-and of critical importance to the invention is a step of photoresist ashing and oxidation of the surface of the layer of silicon nitride.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: October 1, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Chen Huang, Li-Chih Chao, Chao-Chen Chen
  • Patent number: 6429119
    Abstract: Using this special dual damascene process, interconnect conducting lines and via contacts are formed which have low parasitic capacitance (low RC time constants). The invention incorporates the use of thin etch stop or etch barrier layers. The key process steps of this invention are a special partial via hole etch and a special via hole liner. The Prior Art dual damascene processes are generally composed of a thick via etch stop layer to avoid damaging underlying Cu during via patterning, as well as, a thick trench etch stop layer to avoid via hole facet during trench patterning. Thick etch stop layers are undesirably due to high dielectric constant values compared with silicon oxide, the intermetal dielectric (IMD). Therefore, the thickness of stop-layer should be reduced to minimize the circuit (RC) time constant delay. In general, there are two main approaches for dual damascene etching.
    Type: Grant
    Filed: September 27, 1999
    Date of Patent: August 6, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-Chih Chao, Chia-Shiung Tsai, Ming-Huei Lui, Jen-Cheng Liu, Chao-Cheng Chen
  • Patent number: 6376366
    Abstract: A method is provided for forming dual damascene structures with a partial hard mask through a judicious use of partial opening or etching of the mask which simplifies the dual damascene process, and makes it especially suitable for low-k dielectric materials in advanced sub-micron technologies capable of forming features approaching less than 0.10 micrometers (&mgr;m). This is accomplished by forming a hard mask over a low-k dielectric layer. The hard mask is first opened partially to form a trench, and later again to form a via opening. The via opening is next extended into the low-k dielectric layer, followed by etching further the partial trench into the hard mask, and then transferring the trench pattern into the dielectric layer while at the same time extending the via opening to the underlying metal layer.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: April 23, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-Te S. Lin, Li-Chih Chao
  • Patent number: 6323121
    Abstract: A method is described for cleaning freshly etched dual damascene via openings and preparing them for copper fill without damage or contamination of exposed organic or other porous low-k insulative layers. The method is entirely dry and does not expose the porous materials to contamination from moisture or solvents. The method is effective for removing all traces of residual polymer deposits from an in-process substrate wafers after via or damascene trench etching. The method employs an in-situ three-step treatment comprising a first step of exposing the electrically biased substrate wafer to a O2/N2 ashing plasma to remove photoresist and polymers, a second step immediately following the first step of remove silicon nitride etch stop layers, and a final step of treating the wafer with H2/N2 to remove copper polymer deposits formed during nitride removal. The H2/N2 plasma is capable of removing the difficult polymer residues which are otherwise only removable by wet stripping procedures.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: November 27, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jen-Cheng Liu, Chao-Cheng Chen, Li-Chih Chao, Chia-Shiung Tsai, Ming-Huei Lui
  • Patent number: 6211061
    Abstract: A method for forming a dual damascene structure in a carbon-based, low-K material. The process begins by providing a semiconductor structure having a first metal pattern thereover, wherein the first metal pattern has a first barrier layer thereon. An organic dielectric layer is formed on the first barrier layer, and a hard mask layer is formed on the dielectric layer. The hard mask layer and the dielectric layer are patterned to form a trench. A second barrier layer is formed over the hard mask layer and on the bottom and sidewalls of the trench. A barc layer is formed over the second barrier layer, thereby filling the trench. The barc layer, the second barrier layer, and the dielectric layer are patterned to form a via opening, preferably using a photoresist mask. The barc layer is patterned without faceting the edges of the via opening due to the second barrier layer. The barc layer and the etch mask are removed by the dielectric layer etch. The first barrier layer and the second barrier layer are removed.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: April 3, 2001
    Assignee: Taiwan Semiconductor Manufactuirng Company
    Inventors: Chao-Cheng Chen, Ming-Huei Lui, Jen-Cheng Liu, Li-chih Chao, Chia-Shiung Tsai
  • Patent number: 6184149
    Abstract: The present invention provides a method for monitoring a self-aligned contact (SAC) etching process. A wafer with an oxide layer serves as an oxide control wafer. The oxide layer is formed on the substrate. The oxide control wafer and a SAC wafer with SAC structure are simultaneously treated with a SAC etching process in an etching chamber with the same etching recipe. A contact hole is formed by etching the oxide layer of the oxide control wafer after the SAC etching process. The depth of a profile transition point and the depth of etching stop for the oxide control wafer can be observed by cross-section SEM. The profile transition depth in the oxide control wafer corresponds to the etching thickness of SiN corner loss in the SAC wafer. Therefore, the profile transition depth and the depth of etching stop in the oxide control wafer can be used to monitor the etching chamber condition.
    Type: Grant
    Filed: August 26, 1997
    Date of Patent: February 6, 2001
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Li-Chih Chao, Yuan-Chang Huang
  • Patent number: 6172411
    Abstract: A self-aligned structure and method of etching contact holes in the self-aligned structure are described. The dielectric materials, etching methods, and etchants are chosen to provide high selectivity etching. The structure comprises an electrode with a silicon oxy-nitride cap and silicon oxy-nitride spacers on the sidewalls of the electrode and the cap. An etch stop layer of silicon nitride is deposited over the substrate covering the spacers and cap. A layer of silicon oxide is deposited over the etch stop layer. Etching methods and etchants are used which provide a ratio of the etching rate of silicon oxide to the etching rate of silicon nitride or silicon oxy-nitride of at least eight and a ratio of the etching rate of silicon nitride to the etching rate of silicon oxy-nitride of at least two.
    Type: Grant
    Filed: December 10, 1998
    Date of Patent: January 9, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-chih Chao, Jhon-Jhy Liaw, Yuan-Chang Huang, Jin-Yuan Lee
  • Patent number: 6165880
    Abstract: A method was achieved for making improved self-aligned contacts (SAC) to a patterned polysilicon layer, such as gate electrodes for FETs. Lightly doped source/drain areas are implanted. A second insulating layer is deposited and etched back to form first sidewall spacers. A silicon nitride etch-stop layer and a first interpolysilicon oxide (IPO1) layer are deposited. First SAC openings are etched in the IPO1 layer to the etch-stop layer, and concurrently openings are etched for the gate electrodes, eliminating a masking step. The etch-stop layer is etched in the SAC openings to form second sidewall spacers that protect the first sidewall spacers during BOE cleaning of the contacts. A patterned polycide layer is used to make SACs and electrical interconnections. A second IPO layer is deposited to provide insulation, and an interlevel dielectric layer is deposited.
    Type: Grant
    Filed: June 15, 1998
    Date of Patent: December 26, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Dun-Nian Yaung, Shou-Gwo Wuu, Li-Chih Chao, Kuo Ching Huang
  • Patent number: 6140218
    Abstract: The present invention provides a method of fabricating a T-shaped hard mask/conductive pattern profile and a process of etching a self-aligned contact opening using a T-shaped hard mask/conductive pattern profile to improve the self-aligned contact isolation. The process begins by forming a polysilicon or more preferably a polysilicon/silicide conductive layer over a semiconductor substrate. A silicon oxynitride hard mask layer is formed over the conductive layer. The silicon oxynitride hard mask layer is patterned to form a hard mask pattern. The conductive layer is patterned to form a conductive pattern in a three step etch using Cl.sub.2 and HBr chemistry. The silicon oxynitride hard mask releases oxygen during the conductive layer etch resulting in a T-shaped hard mask/conductive pattern profile (e.g. the width of the hard mask is greater than the width of the conductive pattern after etching).
    Type: Grant
    Filed: June 10, 1999
    Date of Patent: October 31, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jen-Cheng Liu, Li-Chih Chao, Huan-Just Lin, Yung-Kuan Hsiao
  • Patent number: 6107206
    Abstract: A method of etching closely spaced trenches in a silicon body wherein a masked silicon body is introduced into a plasma etching apparatus. An object having an exposed silicon surface that is consumable by a plasma environment is provided in the apparatus. A reactive plasma environment is established in the apparatus which removes silicon from the body and the silicon object. The additional silicon from the object in the plasma influences the silicon removal from the body to thereby provide tapered trench side walls.
    Type: Grant
    Filed: September 14, 1998
    Date of Patent: August 22, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-Chih Chao, Chao-Cheng Chen