Patents by Inventor Maher MNEIMNEH

Maher MNEIMNEH has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230031375
    Abstract: Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, that determine yield behavior for an autonomous vehicle. An agent that is in a vicinity of an autonomous vehicle can be identified. An obtained crossing intent prediction characterizes a predicted likelihood that the agent intends to cross a roadway during a future time period. First features of the agent and of the autonomous vehicle are obtained. An input that includes the first features and the crossing intent prediction is processed using a machine learning model to generate an intent yielding score that represents a likelihood that the autonomous vehicle should perform a yielding behavior due to the intent of the agent to cross the roadway. From at least the intent yielding score, an intent yield behavior signal is determined and indicates whether the autonomous vehicle should perform the yielding behavior prior to reaching the first crossing region.
    Type: Application
    Filed: July 26, 2022
    Publication date: February 2, 2023
    Inventors: Maher Mneimneh, Anne Hobbs Dorsey, Qiaojing Yan
  • Publication number: 20220073085
    Abstract: Methods, systems, and apparatus, including computer programs encoded on computer storage media, for performing knowledge distillation for autonomous vehicles. One of the methods includes obtaining sensor data characterizing an environment, wherein the sensor data has been captured by one or more sensors on-board a vehicle in the environment; processing, for each of one or more surrounding agents in the environment, a network input generated from the sensor data using a neural network to generate an agent discomfort prediction that characterizes a level of discomfort of the agent; combining the one or more agent discomfort predictions to generate an aggregated discomfort score; and providing the aggregated discomfort score to a path planning system of the vehicle in order to generate a future path of the vehicle.
    Type: Application
    Filed: September 4, 2020
    Publication date: March 10, 2022
    Inventors: Minfa Wang, Kai Ding, Haoyu Chen, Wei Chai, Maher Mneimneh
  • Patent number: 10387605
    Abstract: A system and method for managing and composing verification engines and simultaneously applying such compositions to verify properties with design constraints allocates computing resources to verification engines based upon properties to be checked and optionally a user-specified budget. The verification engines are run in order to verify a received register transfer level (RTL) design description of a circuit according to user-specified assertions and constraints received by the system. The particular verification engines to be run are selected from a database of such engines and a run order is designated in sequential, parallel and distributed flows.
    Type: Grant
    Filed: July 23, 2015
    Date of Patent: August 20, 2019
    Assignee: Synopsys, Inc.
    Inventors: Maher Mneimneh, Scott Cotton, Mohamed Shaker Sarwary, Fahim Rahim, Sudeep Mondal, Paras Mal Jain
  • Patent number: 9721057
    Abstract: A system and method for netlist clock domain crossing verification leverages RTL clock domain crossing (CDC) verification data and results. The netlist clock domain crossing verification system (NCDC) migrates RTL-level constraints and waivers to the netlist design so that the user does not have to re-enter them. The NCDC checks the netlist and generates a report that compares RTL-level CDC checking results to the netlist-level CDC checking results to make it easy to see new issues. The NCDC receives and stores netlist corrections from user input or automatically corrects certain CDC violations, in the netlist.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: August 1, 2017
    Assignee: Synopsys, Inc.
    Inventors: Malay Ganai, Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad Homayoun Movahed-Ezazi, Pronay Kumar Biswas, Nishant Gupta
  • Publication number: 20170024508
    Abstract: A system and method for managing and composing verification engines and simultaneously applying such compositions to verify properties with design constraints allocates computing resources to verification engines based upon properties to be checked and optionally a user-specified budget. The verification engines are run in order to verify a received register transfer level (RTL) design description of a circuit according to user-specified assertions and constraints received by the system. The particular verification engines to be run are selected from a database of such engines and a run order is designated in sequential, parallel and distributed flows.
    Type: Application
    Filed: July 23, 2015
    Publication date: January 26, 2017
    Inventors: Maher Mneimneh, Scott Cotton, Mohamed Shaker Sarwary, Fahim Rahim, Sudeep Mondal, Paras Mal Jain
  • Publication number: 20160259879
    Abstract: A system and method for netlist clock domain crossing verification leverages RTL clock domain crossing (CDC) verification data and results. The netlist clock domain crossing verification system (NCDC) migrates RTL-level constraints and waivers to the netlist design so that the user does not have to re-enter them. The NCDC checks the netlist and generates a report that compares RTL-level CDC checking results to the netlist-level CDC checking results to make it easy to see new issues. The NCDC receives and stores netlist corrections from user input or automatically corrects certain CDC violations, in the netlist.
    Type: Application
    Filed: July 2, 2015
    Publication date: September 8, 2016
    Applicant: Synopsys, Inc.
    Inventors: Malay Ganai, Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad Homayoun Movahed-Ezazi, Pronay Kumar Biswas, Nishant Gupta
  • Patent number: 8984457
    Abstract: A method of hybrid clock domain crossing (CDC) verification includes receiving a design or an integrated circuit (IC) design constraints. Static CDC verification is performed, including structural and functional verification. The result is checked and explicit or implicit assumptions are made to signoff verification. Incomplete formal analysis results are discarded after review. Assertions and monitors are generated by this process to capture the assumptions and check partially covered properties by formal analysis. A dynamic simulation is run using a testbench, the generated assertions and the monitors. The static verification and dynamic verification processes may be repeated until a satisfactory coverage is obtained. A system, such as a computer aided design (CAD) system, is configured to perform CDC verification of the IC design. The system may generate assertions and monitors to then run a simulation and determine coverage.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: March 17, 2015
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Mohammad H. Movahed-Ezazi
  • Patent number: 8856706
    Abstract: A system and methods for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized. The system may be at least a portion of a computer aided design (CAD) system.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: October 7, 2014
    Assignee: Atrenta, Inc.
    Inventors: Maher Mneimneh, Shaker Sarwary, Paras Mal Jain, Ashish Bansal, Mohammad Movahed-Ezazi, Namit Gupta
  • Publication number: 20140282322
    Abstract: A system and method identify potentially static and/or quasi-static signals within an integrated circuit (IC), or portion thereof. Static and quasi-static signals may be identified in a design description of the IC by any one or more of: (1) a fan-out size exceeding some threshold, (2) a toggle frequency in a simulation trace that is below some threshold, and (3) a signal name that appears in a list accessed from the memory. Identification of static and quasi-static signals is performed, typically, as part of a verification process in order to flag cases where the verification system would otherwise indicate an error (e.g., at a clock domain crossing). Identifying a signal of the IC as being static or quasi-static improves the quality of results of verification and makes it easier for a prospective user to concentrate on actual rather than spurious issues reported during verification.
    Type: Application
    Filed: April 29, 2013
    Publication date: September 18, 2014
    Applicant: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad H. Movahed-Ezazi, Jean P. Binois
  • Publication number: 20140282321
    Abstract: A method of hybrid clock domain crossing (CDC) verification includes receiving a design or an integrated circuit (IC) design constraints. Static CDC verification is performed, including structural and functional verification. The result is checked and explicit or implicit assumptions are made to signoff verification. Incomplete formal analysis results are discarded after review. Assertions and monitors are generated by this process to capture the assumptions and check partially covered properties by formal analysis. A dynamic simulation is run using a testbench, the generated assertions and the monitors. The static verification and dynamic verification processes may be repeated until a satisfactory coverage is obtained. A system, such as a computer aided design (CAD) system, is configured to perform CDC verification of the IC design. The system may generate assertions and monitors to then run a simulation and determine coverage.
    Type: Application
    Filed: April 16, 2013
    Publication date: September 18, 2014
    Applicant: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Mohammad H. Movahed-Ezazi
  • Patent number: 8806401
    Abstract: A system and methods for reasonable formal verification provides a user with coverage information that is used for verification signoff. The coverage is calculated based on formal analysis techniques and is provided to the user in terms of design-centric metrics rather than formal-centric metrics. Design-centric metrics include the likes of a number of reads from or writes to memories and a number of bit changes for counters, among many others. Accordingly, a setup for failure (SFF) function and a trigger the failure (TTF) function take place. During SFF formal analysis is applied in an attempt to reach a set of states close enough to suspected failure states. During TTF formal analysis is applied, starting from the SFF states, to search for a state violating a predetermined property. If results are inconclusive the user is provided with a design-centric coverage metric that can be used in signoff.
    Type: Grant
    Filed: March 27, 2013
    Date of Patent: August 12, 2014
    Assignee: Atrenta, Inc.
    Inventors: Mohamad Shaker Sarwary, Maher Mneimneh
  • Patent number: 8607173
    Abstract: Clock-domain crossing (CDC) verification for system on chip (SoC) integrated circuits (IC) can be time consuming and complex, especially as the size of the SoC and the complexity of the modules of which it comprises increase. A bottom-up verification process includes the replacement of a CDC verified module by an abstracted model of the module with constraints defined on the boundaries of the module. Performing the process in a hierarchic manner from bottom upwards allows for faster verification of modules higher in the hierarchy as at least portions thereof are replaced with the abstracted modules.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: December 10, 2013
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Deepak Ahuja, Mohammad Homayoun Movahed-Ezazi
  • Publication number: 20130246989
    Abstract: A system and methods for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized. The system may be at least a portion of a computer aided design (CAD) system.
    Type: Application
    Filed: May 6, 2013
    Publication date: September 19, 2013
    Applicant: Atrenta, Inc
    Inventors: Maher MNEIMNEH, Shaker SARWARY, Paras Mal JAIN, Ashish BANSAL, Mohammad MOVAHED-EZAZI, Namit GUPTA
  • Publication number: 20130239080
    Abstract: Clock-domain crossing (CDC) verification for system on chip (SoC) integrated circuits (IC) can be time consuming and complex, especially as the size of the SoC and the complexity of the modules of which it comprises increase. A bottom-up verification process includes the replacement of a CDC verified module by an abstracted model of the module with constraints defined on the boundaries of the module. Performing the process in a hierarchic manner from bottom upwards allows for faster verification of modules higher in the hierarchy as at least portions thereof are replaced with the abstracted modules.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 12, 2013
    Applicant: ATRENTA, INC.
    Inventors: Mohamed Shaker SARWARY, Maher MNEIMNEH, Paras Mal JAIN, Deepak AHUJA, Mohammad Homayoun MOVAHED-EZAZI
  • Patent number: 8448111
    Abstract: A method and system for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: May 21, 2013
    Assignee: Atrenta, Inc.
    Inventors: Maher Mneimneh, Shaker Sarwary, Paras Mal Jain, Ashish Bansal, Mohammad Movahed-Ezazi, Namit Gupta
  • Publication number: 20120180015
    Abstract: A method and system for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized.
    Type: Application
    Filed: January 7, 2011
    Publication date: July 12, 2012
    Applicant: ATRENTA, INC.
    Inventors: Maher MNEIMNEH, Shaker Sarwary, Paras Mal Jain, Ashish Bansal, Mohammad Movahed-Ezazi, Namit Gupta