Patents by Inventor Nicolas Jean Loubet

Nicolas Jean Loubet has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240128346
    Abstract: A semiconductor structure is provided that includes a pFET located in a pFET device region, the pFET includes a first functional gate structure and a plurality of pFET semiconductor channel material nanosheets, and an nFET located in the nFET device region, the nFET includes a second functional gate structure and a plurality of pFET semiconductor channel material nanosheets. The pFET semiconductor channel material nanosheets can be staggered relative to, or vertically aligned in a horizontal direction with, the nFET semiconductor channel material nanosheets. When staggered, a bottom dielectric isolation structure can be located in both the device regions, and the second functional gate structures has a bottommost surface that extends beneath a topmost surface of the bottom dielectric isolation structure. When horizontally aligned, a vertical dielectric pillar is located between the two device regions.
    Type: Application
    Filed: October 12, 2022
    Publication date: April 18, 2024
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Ruilong Xie, Liqiao Qin, Gen Tsutsui, Nicolas Jean Loubet, Min Gyu Sung, Chanro Park, Kangguo Cheng, Heng Wu
  • Publication number: 20240113023
    Abstract: A semiconductor device includes a backside power line located under a p-channel field effect transistor region and an n-channel field effect transistor region; a backside signal line located between the p-channel field effect transistor region and the n-channel field effect transistor region; and an airgap between the backside power line and the backside signal line.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Tao Li, Ruilong Xie, Nicolas Jean Loubet, Julien Frougier
  • Publication number: 20240096952
    Abstract: A semiconductor structure comprises a first nanosheet stack comprising one or more first nanosheet channel layers and a first dielectric isolation layer over the one or more first nanosheet channel layers, a second nanosheet stack comprising one or more second nanosheet channel layers and a second dielectric isolation layer over the one or more second nanosheet channel layers, and a gate dielectric layer disposed over a top surface of one of the first dielectric isolation layer and the second dielectric isolation layer.
    Type: Application
    Filed: September 20, 2022
    Publication date: March 21, 2024
    Inventors: Juntao Li, Ruilong Xie, Julien Frougier, Nicolas Jean Loubet
  • Publication number: 20240096947
    Abstract: Embodiments of the present invention are directed to the implantation of composite tunnel field effect transistors (TFETs) in a nanosheet process. In a non-limiting embodiment of the invention, a first source or drain region is formed having a first composition and a first doping type. A second source or drain region is formed having a second composition and a second doping type opposite the first doping type. A first composite channel structure is formed between the first source or drain region and the second source or drain region. The first composite channel structure includes a first nanosheet trimmed to expose extension portions of the first source or drain region and extension portions of the second source or drain region. The first composite channel structure further includes a first channel epitaxy wrapping around the trimmed first nanosheet. The first channel epitaxy is connected laterally to the extension portions.
    Type: Application
    Filed: September 21, 2022
    Publication date: March 21, 2024
    Inventors: Kirsten Emilie Moselund, Nicolas Jean Loubet, Bogdan Cezar Zota, Shogo Mochizuki
  • Publication number: 20240096940
    Abstract: A microelectronic structure including a first transistor including a plurality a first channel layers. A second transistor including a plurality of second channel layers, where the first transistor is located adjacent to the second transistors. A dielectric bar located between the first transistor and the second transistor. A first source/drain of the first transistor is located on a first side of the dielectric bar and a second source/drain of the second transistor is located on a second side of the dielectric bar, where the first side is opposite the second side. A first backside contact connected to the first source/drain, where the first backside contact is in contact with first side of the dielectric bar. A second backside contact connected to the second source/drain, where the second backside contact is in contact with the second side of dielectric bar.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Tao Li, Ruilong Xie, Julien Frougier, Nicolas Jean Loubet
  • Publication number: 20240079327
    Abstract: A semiconductor device includes a transistor disposed on a semiconductor substrate, wherein the transistor includes a source/drain region disposed on a first side of the semiconductor substrate. A via extends through the semiconductor substrate, and connects a power element disposed on a second side of the semiconductor substrate to the source/drain region. A dielectric spacer is disposed between the via and the semiconductor substrate.
    Type: Application
    Filed: September 7, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Theodorus E. Standaert, Nicolas Jean Loubet, Kisik Choi
  • Publication number: 20240074135
    Abstract: A microelectronic structure including a bottom transistor having a gate region aligned along a first axis. An upper transistor located on top of the bottom transistor, where the upper transistor has a gate region that is aligned along a second axis, and where the second axis is perpendicular to the first axis.
    Type: Application
    Filed: August 25, 2022
    Publication date: February 29, 2024
    Inventors: Nicolas Jean Loubet, Kirsten Emilie Moselund, Bogdan Cezar Zota
  • Publication number: 20240063189
    Abstract: A long channel transistor structure including a first transistor array adjacent to a second transistor array, a third transistor array adjacent to a fourth transistor array, where the third transistor array and the fourth transistor array are arranged above the first transistor array and the second transistor array, and a continuous channel path through channels of the first transistor array, the second transistor array, the third transistor array, and the fourth transistor array.
    Type: Application
    Filed: August 17, 2022
    Publication date: February 22, 2024
    Inventors: Heng Wu, Ruilong Xie, Julien Frougier, Min Gyu Sung, Nicolas Jean Loubet
  • Publication number: 20240006467
    Abstract: A semiconductor structure that includes a nanosheet logic device (i.e., nFET and/or pFET) co-integrated with a precision middle-of-the-line (MOL) resistor is provided. The precision MOL resistor is located over a nanosheet device and is present in at least one resistor device region of a semiconductor substrate. The at least one resistor device region can include a first resistor device region in which the MOL resistor is optimized for low capacitance and/or a second resistor device region in which the MOL resistor is optimized for low self-heating.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Nicolas Jean Loubet, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang
  • Publication number: 20230411289
    Abstract: A first and a second source drain region, an upper source drain contact connected to the first source drain region, a bottom source drain contact connected to the second source drain region, a dielectric spacer surrounds opposite vertical side surfaces of the bottom source drain contact and overlaps a vertical side surface and a lower horizontal surface of a bottom isolation region. A width of the bottom source drain contact wider than a width of the second source drain. Forming an undoped silicon buffer epitaxy in an opening between and below a first and a second nanosheet stack, forming a contact to a first source drain adjacent to that, removing the undoped silicon buffer epitaxy below a second source drain between the first and the second nanosheet stack, forming a bottom contact to that, a width of the bottom contact is wider than a width of the second source drain.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Somnath Ghosh, Julien Frougier, Min Gyu Sung, Theodorus E. Standaert, Nicolas Jean Loubet, Huiming Bu
  • Publication number: 20230411466
    Abstract: A first source drain region adjacent to a first transistor, a second source drain region adjacent to a second transistor, an upper source drain contact above the first source drain region, a bottom source drain contact below the second source drain region, the bottom and the upper source drain contacts are on opposite sides, a horizontal surface of the bottom source drain contact is adjacent to a horizontal surface of dielectric side spacers surrounding the second source drain region. An embodiment where a bottom source drain contact surrounds vertical sides of a source drain region. A method including forming a forming a first and a second nanosheet stacks, forming a top source drain contact to a first source drain region adjacent to the first nanosheet stack, forming a bottom source drain contact to a lower horizontal surface of a second source drain region adjacent to the second nanosheet stack.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, SOMNATH GHOSH, Julien Frougier, Min Gyu Sung, Theodorus E. Standaert, Nicolas Jean Loubet, Huiming Bu
  • Publication number: 20230411477
    Abstract: A gate-all-around transistor structure including a channel region surrounded on three sides by a gate conductor, and a pair of salicide regions extending from opposite ends of the channel region in a direction parallel with the gate conductor.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: Su Chen Fan, Nicolas Jean Loubet, Yann Mignot, Tsung-Sheng Kang, Eric Miller
  • Publication number: 20230411531
    Abstract: A semiconductor device includes a p-type field-effect transistor including first channels made of silicon having a (110) crystallographic orientation. The semiconductor device further includes an n-type field-effect transistor including second channels made of silicon having a (100) crystallographic orientation. The semiconductor device further includes a gate surrounding the first channels and the second channels.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: Nicolas Jean Loubet, Shogo Mochizuki, Maruf Amin Bhuiyan
  • Publication number: 20230411392
    Abstract: A semiconductor structure including a gate-all-around input/output (I/O) device and a gate-all-around core logic device integrated on a semiconductor substrate is provided. The gate-all-around I/O device, which has a wider channel length than the gate-all-around core logic device, has a dielectric spacer and/or inner spacers that is (are) laterally wider (i.e., thicker) than a dielectric spacer and/or inner spacers present in the gate-all-around core logic device.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: HUIMEI ZHOU, MIAOMIAO WANG, Nicolas Jean Loubet
  • Publication number: 20230369394
    Abstract: Embodiments of present invention provide a method of forming a nanosheet transistor structure. The method includes forming a nanosheet stack on a substrate, the nanosheet stack having a set of nanosheets separated by a set of sacrificial sheets; forming a vertical dielectric pillar separated from the nanosheet stack; forming a dielectric liner lining the nanosheet stack and the vertical dielectric pillar; forming a set of inner spacers between the set of nanosheets; forming a side spacer between the set of inner spacers and the vertical dielectric pillar, the side spacer being surrounded by the dielectric liner at least at a left side between the set of inner spacers and the side spacer and at a right side between the side spacer and the vertical dielectric pillar; and forming a replacement gate stack surrounding the set of nanosheets. A structure formed thereby is also provided.
    Type: Application
    Filed: May 11, 2022
    Publication date: November 16, 2023
    Inventors: Ruilong Xie, Julien Frougier, Andrew M. Greene, Junli Wang, Nicolas Jean Loubet
  • Publication number: 20230307452
    Abstract: A semiconductor device includes a first semiconductor layer and a second semiconductor layer. The first semiconductor layer of the semiconductor device includes a standard-gate field-effect transistor. The second semiconductor layer of the semiconductor device includes an extended-gate field-effect transistor. The first semiconductor layer and the second semiconductor layer are formed on top of one another.
    Type: Application
    Filed: March 25, 2022
    Publication date: September 28, 2023
    Inventors: Ruilong Xie, Julien Frougier, Nicolas Jean Loubet, Junli Wang, Ruqiang Bao, Min Gyu Sung, Heng Wu, Oleg Gluschenkov
  • Publication number: 20230299080
    Abstract: A semiconductor device includes a bottom device, a top device, and a spacer. The bottom device includes a first set of silicon sheets and a first source-drain epitaxy in direct contact with the first set of silicon sheets. The top device includes a second set of silicon sheets, a set of separation layers, and a second source-drain epitaxy. Each silicon sheet of the second set of silicon sheets is separated by a separation layer of the set of separation layers. The second source-drain epitaxy is arranged in direct contact with the second set of silicon sheets. The spacer is arranged between the first source-drain epitaxy and the second source-drain epitaxy and is arranged between each silicon sheet of the second set of silicon sheets.
    Type: Application
    Filed: March 21, 2022
    Publication date: September 21, 2023
    Inventors: Sagarika Mukesh, Julien Frougier, Nicolas Jean Loubet, Ruilong Xie
  • Publication number: 20230299085
    Abstract: A semiconductor structure including a first stacked transistor structure including a top device stacked directly above a bottom device, and a second stacked transistor structure adjacent to the first stacked transistor, the second stacked transistor including a top device stacked directly above a bottom device, where the top device of the first stacked transistor structure and the top device of the second stacked transistor structure are made from different gate dielectric materials, and where the bottom device of the first stacked transistor structure and the bottom device of the second stacked transistor structure are made from different gate dielectric materials.
    Type: Application
    Filed: March 21, 2022
    Publication date: September 21, 2023
    Inventors: Ruilong Xie, Nicolas Jean Loubet, Julien Frougier, Dechao Guo
  • Publication number: 20230282748
    Abstract: Embodiments of present invention provide a semiconductor device. The semiconductor structure includes a plurality of nanosheet (NS) channel layers having a plurality of source/drain (S/D) regions on sidewalls thereof; and a continuous contact via being in direct contact with the plurality of S/D regions, wherein the continuous contact via has a substantially same horizontal distance to each of the plurality of NS channel layers. A method of manufacturing the same is also provided.
    Type: Application
    Filed: March 4, 2022
    Publication date: September 7, 2023
    Inventors: Shogo Mochizuki, Su Chen Fan, Nicolas Jean Loubet, Xuan Liu
  • Patent number: 10615281
    Abstract: A semiconductor device includes a plurality of semiconductor layers formed on a plurality of fin structures, an epitaxial layer formed on the plurality of fin structures and on a sidewall of the plurality of semiconductor layers, a gate structure formed on the plurality of semiconductor layers, and a wrap around contact formed on the epitaxial layer.
    Type: Grant
    Filed: August 30, 2018
    Date of Patent: April 7, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael A. Guillorn, Nicolas Jean Loubet