Patents by Inventor Paul L. King

Paul L. King has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7755194
    Abstract: A composite ?-Ta/graded tantalum nitride/TaN barrier layer is formed in Cu interconnects with a controlled surface roughness for improved adhesion, electromigration resistance and reliability. Embodiments include lining a damascene opening, such as a dual damascene opening in a low-k interlayer dielectric, with an initial layer of TaN, forming a graded tantalum nitride layer on the initial TaN layer and then forming an ?-Ta layer on the graded TaN layer, the composite barrier layer having an average surface roughness (Ra) of about 25 ? to about 50 ?. Embodiments further include controlling the surface roughness of the composite barrier layer by varying the N2 flow rate and/or ratio of the thickness of the combined ?-Ta and graded tantalum nitride layers to the thickness of the initial TaN layer.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: July 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amit Marathe, Connie Pin-Chin Wang, Christy Mei-Chu Woo, Paul L. King
  • Patent number: 7250667
    Abstract: An integrated circuit is provided with a semiconductor substrate that is doped with a set concentration of an oxidizable dopant of a type that segregates to the top surface of a silicide when the semiconductor substrate is reacted to form such a silicide. A gate dielectric is on the semiconductor substrate, and a gate is on the gate dielectric. Source/drain junctions are in the semiconductor substrate. A silicide is on the source/drain junctions and dopant is segregated to the top surface of the silicide. The dopant on the top surface of the segregated dopant is oxidized to form an insulating layer of oxidized dopant above the silicide. An interlayer dielectric is above the semiconductor substrate. Contacts and connection points are in the interlayer dielectric to the insulating layer of oxidized dopant above the silicide.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: July 31, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darin A. Chan, Simon Siu-Sing Chan, Paul L. King
  • Patent number: 7151020
    Abstract: A method of forming an integrated circuit with a semiconductor substrate is provided. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. Source/drain junctions are formed in the semiconductor substrate. A transition metal layer is formed on the source/drain junctions and on the gate. An interlayer dielectric is formed above the semiconductor substrate. Contacts are then formed in the interlayer dielectric, whereby a silicide is formed from the transition metal layer at a temperature no higher than the maximum temperature at which the interlayer dielectric and the contacts are formed.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: December 19, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey P. Patton, Austin C. Frenkel, Thorsten Kammler, Robert J. Chiu, Errol Todd Ryan, Darin A. Chan, Paul R. Besser, Paul L. King, Minh Van Ngo
  • Patent number: 7064067
    Abstract: A method of forming an integrated circuit with a semiconductor substrate is provided. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. A sidewall spacer is formed around the gate. Source/drain junctions are formed in the semiconductor substrate. An intermediate phase silicide is formed on the source/drain regions and on the gate. The sidewall spacer is removed. A final phase silicide is formed from the intermediate phase silicide. An interlayer dielectric is deposited above the semiconductor substrate, and contacts are then formed in the interlayer dielectric to the final phase silicide.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul L. King, Simon Siu-Sing Chan, Jeffrey P. Patton, Minh Van Ngo
  • Patent number: 7033940
    Abstract: A composite ?-Ta/graded tantalum nitride/TaN barrier layer is formed in Cu interconnects with a controlled surface roughness for improved adhesion, electromigration resistance and reliability. Embodiments include lining a damascene opening, such as a dual damascene opening in a low-k interlayer dielectric, with an initial layer of TaN, forming a graded tantalum nitride layer on the initial TaN layer and then forming an ?-Ta layer on the graded TaN layer, the composite barrier layer having an average surface roughness (Ra) of about 25 ? to about 50 ?. Embodiments further include controlling the surface roughness of the composite barrier layer by varying the N2 flow rate and/or ratio of the thickness of the combined ?-Ta and graded tantalum nitride layers to the thickness of the initial TaN layer.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: April 25, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amit Marathe, Connie Pin-Chin Wang, Christy Mei-Chu Woo, Paul L. King
  • Patent number: 7015076
    Abstract: A method is provided of forming an integrated circuit with a semiconductor substrate that is doped with a set concentration of an oxidizable dopant of a type that segregates to the top surface of a silicide when the semiconductor substrate is reacted to form such a silicide. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. Source/drain junctions are formed in the semiconductor substrate. A silicide is formed on the source/drain junctions and dopant is segregated to the top surface of the silicide. The dopant on the top surface of the segregated dopant is oxidized to form an insulating layer of oxidized dopant above the silicide. An interlayer dielectric is deposited above the semiconductor substrate. Contacts and connection points are then formed in the interlayer dielectric to the insulating layer of oxidized dopant above the silicide.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: March 21, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darin A. Chan, Simon Siu-Sing Chan, Paul L. King
  • Patent number: 7005357
    Abstract: A method of forming an integrated circuit with a semiconductor substrate is provided. A gate dielectric is formed on the semiconductor substrate, and a gate is formed on the gate dielectric. Source/drain junctions are formed in the semiconductor substrate. A sidewall spacer is formed around the gate using a low power plasma enhanced chemical vapor deposition process A silicide is formed on the source/drain junctions and on the gate, and an interlayer dielectric is deposited above the semiconductor substrate. Contacts are then formed in the interlayer dielectric to the silicide.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Simon Siu-Sing Chan, Paul R. Besser, Paul L. King, Errol Todd Ryan, Robert J. Chiu
  • Patent number: 6784506
    Abstract: A method for preventing the thermal decomposition of a high-K dielectric layer of a gate electrode during the formation of a metal silicide on the gate electrode by using nickel as the metal component of the silicide.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: August 31, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, Eric N. Paton
  • Patent number: 6764912
    Abstract: The formation of metal silicides in silicon nitride spacers on a gate electrode causes bridging between a gate electrode and the source and drain regions of a semiconductor device. The bridging is prevented by forming a thin layer of silicon oxide on the silicon nitride spacers prior to forming the metal silicide layers on the device.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: John Clayton Foster, Eric N. Paton, Matthew S. Buynoski, Qi Xiang, Paul R. Besser, Paul L. King
  • Patent number: 6717236
    Abstract: A method of reducing electromigration in a dual-inlaid copper interconnect line (3) by filling a via (6) with a Cu-rich Cu—Zn alloy (30) electroplated on a Cu surface (200 from a stable chemical solution, and by controlling the Zn-doping thereof, which also improves interconnect reliability and corrosion resistance, and a semiconductor device thereby formed. The method involves using a reduced-oxygen Cu—Zn alloy as fill (30) for the via (6) in forming the dual-inlaid interconnect structure (35). The alloy fill (30) is formed by electroplating the Cu surface (20) in a unique chemical solution containing salts of Zn and Cu, their complexing agents, a pH adjuster, and surfactants, thereby electroplating the fill (30) on the Cu surface (20); and annealing the electroplated Cu—Zn alloy fill (30); and planarizing the Cu—Zn alloy fill (30), thereby forming the dual-inlaid copper interconnect line (35).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: April 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Alexander H. Nickel, Paul L. King
  • Patent number: 6630741
    Abstract: A method of reducing electromigration in a graded reduced-oxygen dual-inlaid copper interconnect line by filling a via with a graded Cu-rich Cu—Zn alloy fill electroplated on a Cu surface using a stable chemical solution, and by controlling and ordering the Zn-doping thereof, which also improves interconnect reliability and corrosion resistance, and a semiconductor device thereby formed. The method involves using a graded reduced-oxygen Cu—Zn alloy as fill for the via in forming the dual-inlaid interconnect structure. The graded alloy fill is formed by electroplating, while varying electroplating parameters, the Cu surface in a unique chemical solution containing salts of Zn and Cu, their complexing agents, a pH adjuster, and surfactants, thereby electroplating the graded fill on the Cu surface; and annealing the electroplated graded Cu—Zn alloy fill; and planarizing the Cu—Zn alloy fill, thereby forming the graded reduced-oxygen dual-inlaid copper interconnect line.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: October 7, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Paul L. King, Joffre F. Bernard
  • Patent number: 6624074
    Abstract: A method of fabricating a semiconductor device having contaminant-reduced Ca-doped Cu surfaces formed on Cu interconnects by cost-effectively depositing a Cu—Ca—X surface and subsequently removing the contaminant layer contained therein; and a device thereby formed. In the Cu—Ca—X surface, where contaminant X═C, S, and O, removal of the contaminant from such surface is achieved by (a) immersing the Cu interconnect surface into an electroless plating solution comprising Cu salts, Ca salts, their complexing agents, a reducing agent, a pH adjuster, and at least one surfactant for facilitating Ca-doping of the Cu interconnect material; and (b) annealing of the Cu—Ca—X surface under vacuum onto the underlying Cu interconnect material to form a Cu—Ca film on Cu interconnect structure, thereby producing a uniform Cu—Ca film (i.e., Cu-rich with 0.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: September 23, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Joffre F. Bernard, Paul L. King
  • Patent number: 6621165
    Abstract: A semiconductor device having contaminant-reduced calcium-copper (Ca—Cu) alloy surfaces formed on Cu interconnects fabricated by cost-effectively removing the contaminant layer.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: September 16, 2003
    Inventors: Sergey Lopatin, Paul L. King, Joffre F. Bernard
  • Patent number: 6610181
    Abstract: The present invention is directed to a method of controlling the formation of metal layers. In one illustrative embodiment, the method comprises depositing a layer of metal above a structure, irradiating at least one area of the layer of metal, and analyzing an x-ray spectrum of x-rays leaving the irradiated area to determine a thickness of the layer of metal. In further embodiments of the present invention, a plurality of areas, and in some cases at least five areas, of the layer of metal are irradiated. The layer of metal may be comprised of, for example, titanium, cobalt, nickel, copper, tantalum, etc.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: August 26, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Paul L. King, Susan Kim
  • Patent number: 6611576
    Abstract: A novel method of automatically controlling thickness of a metal film during film deposition in a deposition chamber. The method involves producing an X-ray beam directed to the metal film deposited on a wafer in a deposition chamber, and detecting X-ray fluorescence of the metal film. The thickness of the metal film determined based on the detected X-ray fluorescence is compared with a preset value to continue deposition if the determined thickness is less than the preset value. Deposition is stopped when the determined thickness reaches the preset value.
    Type: Grant
    Filed: February 12, 2001
    Date of Patent: August 26, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Paul L. King
  • Patent number: 6605513
    Abstract: A self-aligned silicide process that can accommodate a low thermal budget and form silicide regions of small dimensions in a controlled reaction. In a first temperature treatment, nickel metal or nickel alloy is reacted with a silicon material to form at least one high resistance nickel silicide region. Unreacted nickel is removed. A dielectric layer is then deposited over a high resistance nickel silicide regions. In a second temperature treatment, the at least one high resistance nickel silicide region and dielectric layer are reacted at a prescribed temperature to form at least one low resistance silicide region and process the dielectric layer. Bridging between regions is avoided by the two-step process as silicide growth is controlled, and unreacted nickel between silicide regions is removed after the first temperature treatment. The processing of the high resistance nickel silicide regions and the dielectric layer are conveniently combined into a single temperature treatment.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, George Jonathan Kluth, Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6602781
    Abstract: A method for implementing a self-aligned metal silicide gate is achieved by confining a metal within a recess overlying a channel and annealing to cause metal and its overlying silicon to interact to form the self-aligned metal silicide gate. A gate dielectric layer formed of oxynitride or a nitride/oxide stack is formed on the bottom and sidewalls of the recess prior to depositing the silicon. The metal is removed except for the portion of the metal in the recess. A planarization step is performed to remove the remaining unreacted silicon by chemical mechanical polishing until no silicon is detected.
    Type: Grant
    Filed: December 12, 2000
    Date of Patent: August 5, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Paul R. Besser, Matthew Buynoski, John C. Foster, Paul L. King, Eric N. Paton
  • Patent number: 6562718
    Abstract: A method of forming a fully silicidized gate of a semiconductor device includes forming silicide in active regions and a portion of a gate. A shield layer is blanket deposited over the device. The top surface of the gate electrode is then exposed. A refractory metal layer is deposited and annealing is performed to cause the metal to react with the gate and fully silicidize the gate, with the shield layer protecting the active regions of the device from further silicidization to thereby prevent spiking and current leakage in the active regions.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John C. Foster, Paul L. King, George J. Kluth, Minh V. Ngo, Eric N. Paton, Christy Mei-Chu Woo
  • Patent number: 6559051
    Abstract: High quality dielectric layers, e.g., high-k dielectric layers comprised of at least one refractory or lanthanum series transition metal oxide or silicate, for use as gate insulator layers in in-laid metal gate MOS transistors and CMOS devices, are formed by electrolessly plating a metal or metal-based dielectric precursor layer comprising at least one refractory or lanthanum series transition metal, such as of Zr and/or Hf, on a silicon-based semiconductor substrate and then reacting the precursor layer with oxygen or with oxygen and the Si-based semiconductor substrate to form the at least one metal oxide or silicate. The inventive methodology prevents, or at least substantially reduces, oxygen access to the substrate surface during at least the initial stage(s) of formation of the gate insulator layer, thereby minimizing deleterious formation of oxygen-induced surface states at the semiconductor substrate/gate insulator interface.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: May 6, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Paul R. Besser, Paul L. King, Eric N. Paton, Qi Xang
  • Publication number: 20030042515
    Abstract: A method for preventing the thermal decomposition of a high-K dielectric layer of a gate electrode during the formation of a metal silicide on the gate electrode by using nickel as the metal component of the silicide.
    Type: Application
    Filed: August 28, 2001
    Publication date: March 6, 2003
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, Eric N. Paton