Patents by Inventor Paul Nicholas Whatmough

Paul Nicholas Whatmough has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20180152252
    Abstract: A device comprises a coupling configured to couple signals to and from a communications path including at least a part of a human or animal body; a data transmitter coupled to the coupling and configured to transmit, from time to time, a data signal of at least a predetermined temporal duration via the communications path; and a data receiver coupled to the coupling and configured to detect the presence of a signal on the communications path at sets of one or more successive detection instances disposed between successive transmissions of the data signal by the data transmitter, the data receiver being configured so that the successive detection instances of a set are temporally separated by no more than the predetermined temporal duration; the device being configured to initiate a processing operation in response to a detection by the data receiver of the presence of a signal on the communications path.
    Type: Application
    Filed: April 15, 2016
    Publication date: May 31, 2018
    Inventors: Paul Nicholas WHATMOUGH, George SMART, Shidhartha DAS, David Michael BULL
  • Patent number: 9960904
    Abstract: Correlation circuitry includes selection circuitry for selecting a sequence of symbol subsets comprising proper subsets of a candidate sequence of symbols and corresponding proper subsets of a target sequence of symbols. Correlation value determining circuitry determines partial correlation values for these proper subsets which are then combined by correlation value combining circuitry to generate a current combined correlation value. Early termination circuitry compares the current combined correlation value with an early termination condition represented by a threshold value to determine whether or not early termination of the correlation determination may be performed. Early termination may be performed when the combined correlation value indicates a sufficient degree of confidence in the partial result determined such that continuing with determination of the full correlation is not justified.
    Type: Grant
    Filed: June 3, 2015
    Date of Patent: May 1, 2018
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, Jeremy Constantin
  • Patent number: 9933466
    Abstract: An apparatus and method are provided for detecting a resonant frequency giving rise to an impedance peak in a power delivery network used to provide a supply voltage. The apparatus includes resonant frequency detection circuitry that comprises test frequency control circuitry and a loading circuit. The test frequency control circuitry is arranged to generate control signals to indicate a sequence of test frequencies. A loading circuit is controlled by the control signals and operates from the supply voltage. In particular, in response to each test frequency indicated by the control signals, the loading circuit draws a duty-cycled current load through the power delivery network at that test frequency. Operation of the loading circuit produces a measurable property whose value varies in dependence on the supply voltage, thus enabling the resonant frequency to be determined from a variation in the value of that measurable property.
    Type: Grant
    Filed: June 2, 2016
    Date of Patent: April 3, 2018
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, Shidhartha Das, David Michael Bull
  • Publication number: 20170207909
    Abstract: Correlation circuitry (2) includes selection circuitry (4) for selecting a sequence of symbol subsets comprising proper subsets of a candidate sequence of symbols and corresponding proper subsets of a target sequence of symbols. Correlation value determining circuitry (6) determines partial correlation values for these proper subsets which are then combined by correlation value combining circuitry (8) to generate a current combined correlation value. Early termination circuitry (10) compares the current combined correlation value with a early termination condition represented by a threshold value to determine whether or not early termination of the correlation determination may be performed. Early termination may be performed when the combined correlation value indicates a sufficient degree of confidence in the partial result determined such that continuing with determination of the full correlation is not justified.
    Type: Application
    Filed: June 3, 2015
    Publication date: July 20, 2017
    Inventors: Paul Nicholas WHATMOUGH, Jeremy CONSTANTIN
  • Publication number: 20170177055
    Abstract: An electronic device (20) has a clock path (24) for propagating a clock signal and a clock propagating element (26) on the clock path. An analogue element (30) coupled to the clock path (24) varies, in dependence on an analogue level of a first signal (32), a switching delay for the clock propagating element (26) to trigger a transition of the clock signal. The first signal is a digitally sampled signal. This provides a mechanism for providing a fast reduction in clock frequency even if the first signal is a metastable signal, which is useful for avoiding errors causes by voltage drops.
    Type: Application
    Filed: March 13, 2015
    Publication date: June 22, 2017
    Inventors: Paul Nicholas WHATMOUGH, David Michael BULL, Shidhartha DAS
  • Publication number: 20170030954
    Abstract: An apparatus and method are provided for detecting a resonant frequency giving rise to an impedance peak in a power delivery network used to provide a supply voltage. The apparatus includes resonant frequency detection circuitry that comprises test frequency control circuitry and a loading circuit. The test frequency control circuitry is arranged to generate control signals to indicate a sequence of test frequencies. A loading circuit is controlled by the control signals and operates from the supply voltage. In particular, in response to each test frequency indicated by the control signals, the loading circuit draws a duty-cycled current load through the power delivery network at that test frequency. Operation of the loading circuit produces a measurable property whose value varies in dependence on the supply voltage, thus enabling the resonant frequency to be determined from a variation in the value of that measurable property.
    Type: Application
    Filed: June 2, 2016
    Publication date: February 2, 2017
    Inventors: Paul Nicholas WHATMOUGH, Shidhartha DAS, David Michael BULL
  • Patent number: 9548749
    Abstract: An operating parameter method and circuitry are provided that generate operating parameter signals that are compensated for noise. Such operating parameter circuitry includes control loop circuitry that operates from a first power supply to provide an operating parameter signal to functional circuitry operating from a second power supply separate from the first power supply. The control loop circuitry comprises generator circuitry to generate the operating parameter signal based on an input signal. Replica generator circuitry operates from the second power supply to generate a further operating parameter signal based on the input signal. Adjustment circuitry performs a comparison on the operating parameter signal and the further operating parameter signal and causes an adjusted input signal to be produced in dependence on a result of the comparison. The adjusted input signal is received by the generator circuitry.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: January 17, 2017
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, David Michael Bull
  • Patent number: 9432009
    Abstract: A circuit delay monitoring apparatus has a ring oscillator with a plurality of delay elements, a signal transition being propagated through the delay elements of the ring oscillator, and a plurality N of sampling points being distributed around the ring oscillator. Selection circuitry selects, in dependence on the indication of the current location of the signal transition generated by the fine sampling circuitry, one of the M transition counter circuits whose associated location is greater than said predetermined amount from the current location of the signal transition. Output generation circuitry then generates a count indication for a reference time period dependent on a sampled count value of the transition counter circuit selected by the selection circuitry, the indication of the current location of the signal transition within the ring oscillator, and reference count data relating to the start of the reference time period.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: August 30, 2016
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, Shidhartha Das, David Michael Bull
  • Publication number: 20160126960
    Abstract: An operating parameter method and circuitry are provided that generate operating parameter signals that are compensated for noise. Such operating parameter circuitry includes control loop circuitry that operates from a first power supply to provide an operating parameter signal to functional circuitry operating from a second power supply separate from the first power supply. The control loop circuitry comprises generator circuitry to generate the operating parameter signal based on an input signal. Replica generator circuitry operates from the second power supply to generate a further operating parameter signal based on the input signal. Adjustment circuitry performs a comparison on the operating parameter signal and the further operating parameter signal and causes an adjusted input signal to be produced in dependence on a result of the comparison. The adjusted input signal is received by the generator circuitry.
    Type: Application
    Filed: November 3, 2014
    Publication date: May 5, 2016
    Inventors: Paul Nicholas WHATMOUGH, David Michael BULL
  • Patent number: 9057761
    Abstract: An integrated circuit including a plurality of sensors configured to sense variations in supply voltage levels at points within the integrated circuit is disclosed. The plurality of sensors are distributed across the integrated circuit and have transistor devices such that process variations in the transistor devices within the sensors are such that a sensing result will have a random voltage offset that has a predetermined probability of lying within a pre-defined voltage offset range. The integrated circuit is configured to transmit results from multiple ones of the plurality of sensors to processing circuitry such that the variations in the supply voltage levels can be determined with a voltage offset range that is reduced compared to the pre-defined voltage offset range.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: June 16, 2015
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, David Michael Bull, Shidhartha Das
  • Patent number: 9047184
    Abstract: An integrated circuit includes processing pipeline circuitry comprising a plurality of pipeline stages separated by respective signal value storage circuitry. Timing detection circuitry to the processing pipeline circuitry serves to detect as timing violations any signal transitions arrive at the signal value storage circuits outside respective nominal timing windows. Error detection circuitry triggers an error correcting response if the timing detection circuitry indicates a predetermined pattern comprising a plurality of timing violations spread over a plurality of clock cycles of a clock signal controlling the processing pipeline circuitry. The predetermined pattern may be two consecutive timing violations.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: June 2, 2015
    Assignee: ARM Limited
    Inventors: David Michael Bull, Shidhartha Das, Paul Nicholas Whatmough
  • Publication number: 20150137864
    Abstract: A circuit delay monitoring apparatus has a ring oscillator with a plurality of delay elements, a signal transition being propagated through the delay elements of the ring oscillator, and a plurality N of sampling points being distributed around the ring oscillator. Selection circuitry selects, in dependence on the indication of the current location of the signal transition generated by the fine sampling circuitry, one of the M transition counter circuits whose associated location is greater than said predetermined amount from the current location of the signal transition. Output generation circuitry then generates a count indication for a reference time period dependent on a sampled count value of the transition counter circuit selected by the selection circuitry, the indication of the current location of the signal transition within the ring oscillator, and reference count data relating to the start of the reference time period.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: ARM Limited
    Inventors: Paul Nicholas WHATMOUGH, Shidhartha DAS, David Michael BULL
  • Patent number: 8639975
    Abstract: A data processing system 2 is used to perform processing operations to generate a result value. The processing circuitry which generates the result value has an error resistant portion 32 and an error prone portion 30. The probability of an error in operation of the error prone portion for a given set of operating parameters (clk, V) is greater than the probability of an error for that same set of operating parameters within the error resistant portion. Error detection circuitry 38 detects any errors arising in the error prone portion. Parameter control circuitry 40 responds to detected errors to adjust the set of operating parameters to maintain a non-zero error rate in the errors detected by the error detection circuitry. Errors within the one or more bits generated by the error prone portion are not corrected as the apparatus is tolerant to errors occurring within such bit values of the result value.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: January 28, 2014
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, David Michael Bull, Shidhartha Das, Daniel Kershaw
  • Patent number: 8639987
    Abstract: A data processing apparatus and method are provided that use monitoring circuitry to control operating parameters of the data processing apparatus. The data processing apparatus has functional circuitry for performing data processing, the functional circuitry including error correction circuitry configured to detect errors in operation of the functional circuitry and to repair those errors in operation. Tuneable monitoring circuitry monitors a characteristic indicative of changes in signal propagation delay within the functional circuitry and produces a control signal dependent on the monitored characteristic. In a continuous tuning mode operation, the tuneable monitoring circuitry modifies the dependency between the monitored characteristic and the control signal in dependence upon certain characteristics of the errors detected by the error correction circuitry.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: January 28, 2014
    Assignee: ARM Limited
    Inventors: Paul Nicholas Whatmough, David Michael Bull, Shidhartha Das
  • Publication number: 20140019815
    Abstract: An integrated circuit 114 includes processing pipeline circuitry 40 comprising a plurality of pipeline stages 44, 46, 48 separated by respective signal value storage circuitry 48, 50, 52. Timing detection circuitry 54, 56, 58 coupled to the processing pipeline circuitry serves to detect as timing violations any signal transitions arrive at the signal value storage circuits outside respective nominal timing windows. Error detection circuitry 66 triggers an error correcting response if the timing detection circuitry indicates a predetermined pattern comprising a plurality of timing violations spread over a plurality of clock cycles of a clock signal CK controlling the processing pipeline circuitry. The predetermined pattern may be two consecutive timing violations.
    Type: Application
    Filed: July 13, 2012
    Publication date: January 16, 2014
    Inventors: David Michael BULL, Shidhartha Das, Paul Nicholas Whatmough
  • Publication number: 20130169350
    Abstract: An integrated circuit comprising a plurality of sensors configured to sense variations in supply voltage levels at points within the integrated circuit is disclosed. The plurality of sensors are distributed across the integrated circuit and have transistor devices such that process variations in the transistor devices within the sensors are such that a sensing result will have a random voltage offset that has a predetermined probability of lying within a pre-defined voltage offset range. The integrated circuit is configured to transmit results from multiple ones of the plurality of sensors to processing circuitry such that the variations in the supply voltage levels can be determined with a voltage offset range that is reduced compared to the pre-defined voltage offset range.
    Type: Application
    Filed: December 30, 2011
    Publication date: July 4, 2013
    Applicant: ARM Limited
    Inventors: Paul Nicholas WHATMOUGH, David Michael Bull, Shidhartha Das
  • Publication number: 20120216067
    Abstract: A data processing apparatus and method are provided that use monitoring circuitry to control operating parameters of the data processing apparatus. The data processing apparatus has functional circuitry for performing data processing, the functional circuitry including error correction circuitry configured to detect errors in operation of the functional circuitry and to repair those errors in operation. Tuneable monitoring circuitry monitors a characteristic indicative of changes in signal propagation delay within the functional circuitry and produces a control signal dependent on the monitored characteristic. In a continuous tuning mode operation, the tuneable monitoring circuitry modifies the dependency between the monitored characteristic and the control signal in dependence upon certain characteristics of the errors detected by the error correction circuitry.
    Type: Application
    Filed: February 18, 2011
    Publication date: August 23, 2012
    Inventors: Paul Nicholas Whatmough, David Michael Bull, Shidhartha Das
  • Publication number: 20120124421
    Abstract: A data processing system 2 is used to perform processing operations to generate a result value. The processing circuitry which generates the result value has an error resistant portion 32 and an error prone portion 30. The probability of an error in operation of the error prone portion for a given set of operating parameters (clk, V) is greater than the probability of an error for that same set of operating parameters within the error resistant portion. Error detection circuitry 38 detects any errors arising in the error prone portion. Parameter control circuitry 40 responds to detected errors to adjust the set of operating parameters to maintain a non-zero error rate in the errors detected by the error detection circuitry. Errors within the one or more bits generated by the error prone portion are not corrected as the apparatus is tolerant to errors occurring within such bit values of the result value.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 17, 2012
    Applicant: ARM LIMITED
    Inventors: Paul Nicholas Whatmough, David Michael Bull, Shidhartha Das, Daniel Kershaw