Patents by Inventor Pei-Hsin Ho

Pei-Hsin Ho has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7076753
    Abstract: Relates to automatic conversion of assumption constraints, used in circuit design verification, that model an environment for testing a DUT/DUV, where the assumptions specify sequential behavior. Such assumptions are converted, with the use of logic synthesis tools, into a gate-level representation. For formal verification, a verification output is constructed from the gate-level representation and DUT/DUV assertion-monitoring circuitry. A formal verifier seeks to prove the verification output cannot indicate a design error. For simulation verification, the gate-level representation is converted into a hybrid representation comprising pipelines and combinational constraints. During simulation, the pipelines hold state information necessary for a solution, of the combinational constraints, to be in accord with the sequential assumption constraints. For certain sequential assumption constraints, the combinational constraints are insufficient to insure avoidance of deadend states.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: July 11, 2006
    Assignee: Synopsys, Inc.
    Inventors: Eduard Cerny, Ashvin Mark Dsouza, Kevin Michael Harer, Pei-Hsin Ho
  • Publication number: 20060129959
    Abstract: One embodiment of the present invention provides a system that refines an abstract model. Note that abstraction refinement is commonly used in formal property verification. During operation, the system receives an abstract model which is a subset of a logic design which can be represented using a set of variables and a set of Boolean functions. Next, the system receives a safety property for the logic design which is desired to be proven. The system also receives a set of counter-examples. A counter-example is a sequence of states that violates the safety property. Note that a state is an assignment of values to the variables, which are determined using the set of Boolean functions and the variable values in the previous state. The system then determines a set of cooperative variables using the set of counter-examples. A cooperative variable is a variable that can help invalidate all counter-examples. The system then refines the abstract model using the set of cooperative variables.
    Type: Application
    Filed: December 8, 2005
    Publication date: June 15, 2006
    Inventors: Yiu Mang, Pei-Hsin Ho
  • Publication number: 20060101365
    Abstract: A system that partitions an integrated circuit. First, the system receives a placement for an integrated circuit. The system then calculates a joint-utilization ratio for pairs of logic modules in the placement. Next, the system sorts the pairs of logic modules based on the joint-utilization ratio. The system then selects top pairs of logic modules based on the joint-utilization ratio and clusters the top pairs of logic modules into new partitions.
    Type: Application
    Filed: June 23, 2005
    Publication date: May 11, 2006
    Inventors: Pei-Hsin Ho, Yongseok Cheon
  • Publication number: 20060090153
    Abstract: A system that reduces power consumption in an integrated circuit. During operation the system receives a placement for the integrated circuit. The system then groups registers in the placement into clusters and builds a temporary clock tree for the registers within the placement. Next the system assigns net weights to clock wires in the temporary clock tree and signal wires between the rest of the cells of the circuit, and uses the assigned net weights to optimize placement of the cells of the circuit by minimizing a sum of the weighted costs of the wires, wherein the weighted cost of a wire is a product of the net weight of the wire and the length of the wire.
    Type: Application
    Filed: July 14, 2005
    Publication date: April 27, 2006
    Inventors: Pei-Hsin Ho, Yongseok Cheon, Qinke Wang
  • Publication number: 20050138585
    Abstract: Relates to automatic conversion of assumption constraints, used in circuit design verification, that model an environment for testing a DUT/DUV, where the assumptions specify sequential behavior. Such assumptions are converted, with the use of logic synthesis tools, into a gate-level representation. For formal verification, a verification output is constructed from the gate-level representation and DUT/DUV assertion-monitoring circuitry. A formal verifier seeks to prove the verification output cannot indicate a design error. For simulation verification, the gate-level representation is converted into a hybrid representation comprising pipelines and combinational constraints. During simulation, the pipelines hold state information necessary for a solution, of the combinational constraints, to be in accord with the sequential assumption constraints. For certain sequential assumption constraints, the combinational constraints are insufficient to insure avoidance of deadend states.
    Type: Application
    Filed: December 18, 2003
    Publication date: June 23, 2005
    Inventors: Eduard Cerny, Ashvin Dsouza, Kevin Harer, Pei-Hsin Ho