Patents by Inventor Tsung-Sheng KANG

Tsung-Sheng KANG has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240145473
    Abstract: A semiconductor device includes a first transistor and a first gate electrically coupled to the first transistor. A second transistor is positioned on top of the first transistor. A second gate is electrically coupled to the second transistor. A dielectric isolation layer is positioned between the first gate and the second gate. A first conductive contact is electrically coupled to the first gate. A second conductive contact is electrically coupled to the second gate. A control of the first gate through the first conductive contact is independent of a control of the second gate through the second conductive contact.
    Type: Application
    Filed: October 26, 2022
    Publication date: May 2, 2024
    Inventors: Tsung-Sheng Kang, Su Chen Fan, Jingyun Zhang, Ruqiang Bao, Son Nguyen
  • Publication number: 20240128191
    Abstract: A semiconductor structure includes a backside power rail disposed in a backside dielectric layer, and dielectric spacer layers laterally extending inwardly from opposing sidewalls of the backside dielectric layer and on a portion of a bottom surface of the backside power rail.
    Type: Application
    Filed: October 17, 2022
    Publication date: April 18, 2024
    Inventors: Tsung-Sheng Kang, Koichi Motoyama, Oscar van der Straten, Alexander Reznicek
  • Patent number: 11956939
    Abstract: A memory device includes a first field effect transistor (FET) stack on a first bottom source/drain region, which includes a first vertical transport field effect transistor (VTFET) device between a second VTFET device and the first source/drain region, and a second FET stack on a second bottom source/drain region, which includes a third VTFET device between a fourth VTFET device and the bottom source/drain region. The memory device includes a third FET stack on a third bottom source/drain region, which includes a fifth VTFET between a sixth VTFET and the third source/drain region, which is laterally adjacent to the first and second source/drain regions. The memory device includes a first electrical connection interconnecting a gate structure of the third VTFET with a gate structure of the fifth VTFET, and a second electrical connection interconnecting a gate structure of the second VTFET with a gate structure of the sixth VTFET.
    Type: Grant
    Filed: March 14, 2023
    Date of Patent: April 9, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tsung-Sheng Kang, Ardasheir Rahman, Tao Li, Albert M. Young
  • Publication number: 20240113232
    Abstract: A semiconductor device that includes a stack of sheet semiconductor layers, and source and drain regions positioned on opposing sides of a channel region in the stack of sheet semiconductor layers. A first contact is present to an upper sheet portion of the source and drain regions for the stack of sheet semiconductor layers. An extended epitaxial semiconductor region is present in contact with the lower sheet portion of the source/drain regions for the stack of sheet semiconductor layers. A second contact is present in direct contact with an upper surface of the extended epitaxial semiconductor region. A notch may be present in the upper surface of the extended semiconductor region to increase contact surface to the second contact.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Daniel Schmidt, Ruilong Xie, Alexander Reznicek, Tsung-Sheng Kang
  • Publication number: 20240112986
    Abstract: A semiconductor device includes a transistor having a source/drain region and a contact disposed on the source/drain region. The semiconductor device further includes a via extending from the contact along a side of the source/drain region to a power element. The contact and the via each comprise a plurality of conductive materials.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Koichi Motoyama, Alexander Reznicek, Tsung-Sheng Kang, Oscar van der Straten
  • Publication number: 20240105768
    Abstract: A semiconductor device includes a nanosheet stack on a substrate. A first source/drain is on a first side of the nanosheet stack and a second source/drain is on an opposing side of the nanosheet stack. A backside contact includes a first contact end on a first end of the first source/drain and an opposing second contact end in electrical communication with a backside power distribution network. A frontside contact includes a first contact end on a first end of the second source/drain and an opposing second contact end in electrical communication with a backend of line (BEOL) interconnect. A placeholder extends from an opposing second end of the second source/drain.
    Type: Application
    Filed: September 22, 2022
    Publication date: March 28, 2024
    Inventors: Ruilong Xie, Daniel Schmidt, Tsung-Sheng Kang, Alexander Reznicek
  • Publication number: 20240105788
    Abstract: A semiconductor device includes a wafer having at least two source/drain (S/D) epi regions. A power rail is arranged on a backside of the wafer. A backside contact (BSCA) has a first portion including a backside local interconnect configured to connect the S/D epi regions together. A plurality of frontside signal wires are connected to the backside local interconnect through a first front side contact.
    Type: Application
    Filed: September 27, 2022
    Publication date: March 28, 2024
    Inventors: Ruilong Xie, Tsung-Sheng Kang, Daniel Schmidt, Alexander Reznicek
  • Patent number: 11942424
    Abstract: An interconnect structure and a method of forming the interconnect structure are provided. The interconnect structure includes one or more metal lines in direct contact with a top surface of one or more devices and one or more vias in direct contact with top surfaces of the one or more metal lines. The interconnect structure also includes one or more dielectric pillars in direct contact with the top surface of the one or more devices. A height of a top surface of the one or more dielectric pillars above the one or more devices is equal to a height of a top surface of the one or more vias above the one or more devices.
    Type: Grant
    Filed: December 1, 2021
    Date of Patent: March 26, 2024
    Assignee: International Business Machines Corporation
    Inventors: Tao Li, Ruilong Xie, Tsung-Sheng Kang, Chih-Chao Yang
  • Publication number: 20240096978
    Abstract: A CMOS apparatus includes an n-doped field effect transistor (nFET); and a p-doped field effect transistor (pFET), each of which has a source structure and a drain structure. A common backside drain contact, which is disposed at the backside surface of the nFET and the pFET, electrically connects the nFET drain structure and the pFET drain structure to a backside interconnect layer.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Tsung-Sheng Kang, Tao Li, Ruilong Xie, Chih-Chao Yang
  • Publication number: 20240087957
    Abstract: A semiconductor device comprising a contact comprising a first section and a second section; wherein the first section of the contact is located on a front side of a source or drain; wherein the second section extends from the front side of the source or drain to a backside of the source or drain; wherein the second section of the contact is comprised of a via and a connection area; wherein the via has a first width and the connection area has a second width, and wherein the second width is larger than the first width.
    Type: Application
    Filed: September 13, 2022
    Publication date: March 14, 2024
    Inventors: Tsung-Sheng Kang, Oscar van der Straten, Koichi Motoyama, Alexander Reznicek
  • Publication number: 20240088034
    Abstract: A microelectronic structure including a first nano device, where the first nano device includes a plurality of transistors. A bottom dielectric isolation located on the backside of each of the plurality of transistors of the first nano device. A separating dielectric layer located on the backside of the bottom dielectric isolation layer, where the separating dielectric layer is a continuous layer on the backside of each of the plurality of transistors of the first nano device.
    Type: Application
    Filed: September 9, 2022
    Publication date: March 14, 2024
    Inventors: Tsung-Sheng Kang, Tao Li, Ruilong Xie, Chih-Chao Yang
  • Publication number: 20240063121
    Abstract: Backside contacts wrapping around source/drain regions provide increased contact areas for electrical connections between field-effect transistors and metallization layers. Cavities formed within a device layer expose sidewalls of selected source/drain regions. The backside contacts extend within such cavities and adjoin the sidewall surfaces and bottom surfaces of the selected source/drain regions.
    Type: Application
    Filed: August 16, 2022
    Publication date: February 22, 2024
    Inventors: Ruilong Xie, Tsung-Sheng Kang, Daniel Schmidt, Alexander Reznicek
  • Publication number: 20240047524
    Abstract: An etch stop layer is provided in a vertical stack containing a bottom material stack and a top material stack. Notably, the etch stop layer is provided in an area in which a step region is desired and thus during the etch use to provide the step region the etch stops on the etch stop layer without tapering or compromising the height of the top material stack. Also, prior to gate formation, a dielectric oxide is formed in an area in proximity to the nanosheet step region and a portion thereof remains in the structure after nanosheet and functional gate structure formation.
    Type: Application
    Filed: August 8, 2022
    Publication date: February 8, 2024
    Inventors: Tsung-Sheng Kang, Tao Li, Ruilong Xie, Alexander Reznicek
  • Publication number: 20240030284
    Abstract: Embodiments of present invention provide a method of forming a semiconductor structure. The method includes forming a first set of nanosheets and a second set of nanosheets on top of the first set of nanosheets, wherein the first set of nanosheets has an uppermost nanosheet and the second set of nanosheets has a lowermost nanosheet, the lowermost nanosheet being separated from the uppermost nanosheet by a first gap; forming a conformal liner covering the first set of nanosheets and the first gap; covering a first portion of the conformal liner at the first gap with a protective stud; selectively removing a second portion of the conformal liner from end surfaces of the first set of nanosheets; and forming source/drain at the end surfaces of the first set of nanosheets. A structure formed thereby is also provided.
    Type: Application
    Filed: July 22, 2022
    Publication date: January 25, 2024
    Inventors: Tsung-Sheng Kang, Daniel Schmidt, Alexander Reznicek, Ruilong Xie
  • Publication number: 20240014211
    Abstract: A semiconductor structure comprises two or more vertical fins, a bottom epitaxial layer surrounding a bottom portion of a given one of the two or more vertical fins, a top epitaxial layer surrounding a top portion of the given one of the two or more vertical fins, a shared epitaxial layer surrounding a middle portion of the given one of the two or more vertical fins, and a connecting layer contacting the bottom epitaxial layer and the top epitaxial layer, the connecting layer being disposed to a lateral side of the two or more vertical fins.
    Type: Application
    Filed: September 21, 2023
    Publication date: January 11, 2024
    Inventors: Tsung-Sheng Kang, Ardasheir Rahman, Tao Li, Su Chen Fan
  • Publication number: 20240006500
    Abstract: An integrated circuit structure includes a first combsheet field effect transistor (FET), which includes: a semiconductor substrate; a first plurality of semiconductor nanosheets that extend along a <101> crystallographic direction and that have horizontal surfaces oriented in (100) crystallographic planes and vertical sidewalls oriented in (110) crystallographic planes; and a semiconductor fin that is integrally attached to the nanosheets, extends along the nanosheets, and has horizontal sidewalls oriented in (100) crystallographic planes and vertical surfaces oriented in (110) crystallographic planes.
    Type: Application
    Filed: June 30, 2022
    Publication date: January 4, 2024
    Inventors: Tsung-Sheng Kang, Ruqiang Bao, Curtis S. Durfee, Tao Li
  • Publication number: 20230411477
    Abstract: A gate-all-around transistor structure including a channel region surrounded on three sides by a gate conductor, and a pair of salicide regions extending from opposite ends of the channel region in a direction parallel with the gate conductor.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: Su Chen Fan, Nicolas Jean Loubet, Yann Mignot, Tsung-Sheng Kang, Eric Miller
  • Patent number: 11849647
    Abstract: A semiconductor structure may include a magnetic tunnel junction layer on top and in electrical contact with a microstud, a hard mask layer on top of the magnetic tunnel junction layer, and a liner positioned along vertical sidewalls of the magnetic tunnel junction layer and vertical sidewalls of the hard mask layer. A top surface of the liner may be below a top surface of the hard mask layer. The semiconductor structure may include a spacer on top of the liner. The liner may separate the spacer from the magnetic tunnel junction layer and the hard mask layer. The semiconductor structure may include a first metal layer below and in electrical contact with the microstud and a second metal layer above the hard mask layer. A bottom portion of the second metal layer may surround a top portion of the hard mask layer.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: December 19, 2023
    Assignee: International Business Machines Corporation
    Inventors: Tao Li, Yann Mignot, Ashim Dutta, Tsung-Sheng Kang, Wenyu Xu
  • Patent number: 11810918
    Abstract: A semiconductor structure comprises two or more vertical fins, a bottom epitaxial layer surrounding a bottom portion of a given one of the two or more vertical fins, a top epitaxial layer surrounding a top portion of the given one of the two or more vertical fins, a shared epitaxial layer surrounding a middle portion of the given one of the two or more vertical fins, and a connecting layer contacting the bottom epitaxial layer and the top epitaxial layer, the connecting layer being disposed to a lateral side of the two or more vertical fins.
    Type: Grant
    Filed: December 7, 2020
    Date of Patent: November 7, 2023
    Assignee: International Business Machines Corporation
    Inventors: Tsung-Sheng Kang, Ardasheir Rahman, Tao Li, Su Chen Fan
  • Publication number: 20230326987
    Abstract: A semiconductor structure includes a gate cut isolation region composed of a top portion and a bottom portion. The top portion of the gate cut isolation region being at a first taper angle and the second portion being at a second taper angle different from the first taper angle. A change from the first taper angle to the second taper angle occurs at an intersection between the top portion of the gate cut isolation region and the bottom portion of the gate cut isolation region. The semiconductor structure further includes a plurality of semiconductor channel layers adjacent to the gate cut isolation region, the plurality of semiconductor channel layers being surrounded by a metal gate stack. A top surface of an uppermost semiconductor channel layer being coplanar with the intersection between the top portion and the bottom portion of the gate cut isolation region.
    Type: Application
    Filed: March 23, 2022
    Publication date: October 12, 2023
    Inventors: Tsung-Sheng Kang, Daniel Schmidt, Ruilong Xie, Alexander Reznicek