Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

-

A deposition system and method of operating thereof is described for depositing a conformal metal or other similarly responsive coating material film in a high aspect ratio feature using a high density plasma is described. The deposition system includes a plasma source, and a distributed metal source for forming plasma and introducing metal vapor to the deposition system, respectively. The deposition system is configured to form a plasma having a plasma density and generate metal vapor having a metal density, wherein the ratio of the metal density to the plasma density proximate the substrate is less than or equal to unity. This ratio should exist at least within a distance from the surface of the substrate that is about twenty percent of the diameter of the substrate. A ratio that is uniform within plus or minus twenty-five percent substantially across the surface of said substrate is desirable. The ratio is particularly effective for plasma density exceeding 1012 cm−3, and for depositing film on substrates having nanoscale features with maximum film thickness less than half of the feature width, for example, at ten percent of the feature width.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The present invention relates to a method and apparatus for thin film deposition, and more particularly to a method and apparatus for conformal thin film deposition in high aspect ratio features on a substrate.

DESCRIPTION OF RELATED ART

In the metallization of high aspect ratio (HAR) via holes and contacts, as well as trenches, on semiconductor substrates for inter-level and intra-level wiring of integrated circuits (ICs), barrier layers and seed layers are typically deposited that are required to have sufficient sidewall and bottom coverage to produce the desired barrier or seed properties. For example, it is usually desirable to have a barrier layer as thin as possible in order to minimize its electrical resistance; however, it must not sacrifice its barrier properties. Additionally, for example, the barrier layer must be conformal and continuous without voids in order to prevent diffusion of seed layer material into the dielectric layer and other layers in order to prevent reliability problems. A film is conformal when the difference between its maximum and minimum thickness are within some acceptable limit for the process. Conformality is better when the ratio between the maximum and minimum film thicknesses is less.

For the metallization of HAR features, ionized physical vapor deposition (iPVD) has been utilized in semiconductor processing, and it has been considered for extension into submicron technology. Ionized PVD has provided good sidewall and bottom coverage in via and trench structures; however, the deposition requirements become more critical as geometries shrink and as via dimensions fall below approximately 100 nanometers. Even small overhang at the feature orifice (or top) has been identified as a critical deterrent to sufficient feature coverage. Furthermore, the creation of overhang has also been identified to be sensitive to the feature shape, and this effect has an impact on the overall yield of the process.

Generally, a conformal deposition of the thin layers in interconnect fabrication has been very difficult with current conventional processing and, hence, it has yielded very poor step coverage, which is sometimes defined numerically as the ratio of feature sidewall coverage thickness to coverage thickness in the field area or horizontal surface of the substrate below which the feature extends, with a higher ratio, approaching unity, being better. For example, physical vapor deposition (PVD), such as sputtering, of a metal layer (for adhesion, barrier and/or seed layer) requires at least about 5 nm (nanometers) over all surfaces of the trench and/or contact via, thus PVD of metal into high aspect ratio features requires much greater deposition on the top surfaces of the substrate to produce adequate coverage of the via bottom. Typical state-of-the-art trench and contact structures for dual damascene schemes require about 50 nm PVD metal film 21 on the horizontal field area 27 of the substrate 20, as illustrated in FIG. 12A, in order for 5 nm of metal 24 to reach the bottom and sidewalls of a contact via 23. Due to the directionality of PVD techniques, deposition tends to accumulate more rapidly at upper corners 25 of the trench and/or via, as compared to the bottom 26. As a result of the rapid build-up of deposited material on the upper surfaces of the structure, which occupies much of the conductive line width, these built-up corners then cast a shadow into the lower reaches of the feature.

In current IC interconnect technology utilizing iPVD (see Rossnagel, “Directional and iPVD for microelectronics applications”, J. Vac. Sci. Technol. B16(5), 2585-2608, 1998), several approaches have included sequential or in-situ deposition and etch processes in order to eliminate the overhang (see U.S. Pat. Nos. 6,100,200, 4,999,096, and 6,274,008, and U.S. patent application No. 2003/0034244). However, the inventor has observed that conventional process conditions still result in some undesirable effects, which may include overhang and closure of via, discontinuity of the metal layer, low deposition rate, and throughput limitations. With some approaches, independent deposition and etch systems may be required, which involve substrate transfer issues, contamination can occur due to an unprotected internal coil, and non-uniform plasma generation and consequently non-uniform etching are just a few additional issues facing current practice. Moreover, the inventor has observed that consideration of only the re-sputtering effect at the substrate surface does not completely prevent overhang build-up.

Other techniques used for metallization of vias and trenches include, for example, chemical vapor deposition (CVD) processes developed for certain metals and metal nitrides, which can exhibit improved step coverage than PVD processes, however, even CVD processes tend to deposit far less material at the bottom of a dual damascene contact via than on the upper surfaces and sidewalls of the structure. Thus, while somewhat improved relative to PVD, CVD step coverage of dual damascene structures remains uneven with most currently known low temperature CVD techniques. A more recent method proposed for conformal coverage of metal interconnects includes atomic layer deposition (ALD) (see U.S. Pat. No. 6,699,783). In general, ALD comprises cycles of alternating reactant phases, wherein each phase has a self-limiting effect. However, ALD processes are posed with issues surrounding the availability of precursors at high pressure and at room temperature.

Overall, the inventor has observed that current metallization technology lacks the ability to eliminate feature overhang and, consequently, will ultimately fail to provide sufficient coverage of HAR features for increasingly advanced ICs.

SUMMARY OF THE INVENTION

One object of the present invention is to reduce or eliminate any or all of the above-described problems. Another object of the present invention is to provide a method of depositing a material to improve coverage characteristics within high aspect ratio (HAR) features.

According to principles of the present invention, a deposition system for forming a thin film in a high aspect ratio feature on a substrate is described comprising: a process chamber; a substrate holder coupled to the process chamber, and configured to support the substrate; a plasma source coupled to the process chamber, and configured to form a plasma in the process chamber; and a metal source coupled to the process chamber, and configured to introduce a metal to the process chamber, wherein the plasma source and the metal source are configured to produce a ratio between a metal density and a plasma density of less than unity above the substrate.

According to another aspect of the present invention, a method of depositing a thin metal film in a high aspect ratio feature on a substrate comprising: disposing the substrate on a substrate holder in a deposition system; forming a plasma having a plasma density within the deposition system using a plasma source; introducing metal having a metal density within the deposition system using a metal source; establishing a ratio of the metal density to the plasma density above the substrate, the ratio being approximately equal to or less than unity; and performing a conformal deposition within the feature on the substrate.

While the present invention was primarily directed to the deposition of metal, it is contemplated that it will have application to the deposition of films of other coating materials which behave in such a way as to respond favorably to the principles of the present invention. For example, it is believed that the invention is particularly useful for metal deposition in part where the metals have ionization potentials that are less than that of the background processing gas. Accordingly, a coating material having an ionization potential that is less than the background gas should benefit from application of the principles of the present invention. In cases where the processing gas is, for example, argon, it is expected that a coating material having an ionization potential less than that of argon would particularly benefit from the present invention. Using a background gas having a higher ionization potential should enable extension of the invention to more materials. Extension of the invention to still further materials may be determined analytically or empirically.

BRIEF DESCRIPTION OF THE DRAWINGS

In the accompanying drawings:

FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention;

FIG. 2 illustrates a coordinate system parallel to an upper surface of a substrate;

FIG. 3A depicts a schematic view of a deposition system according to another embodiment of the invention;

FIG. 3B illustrates a top view of the deposition system depicted in FIG. 3A;

FIG. 4 depicts a schematic view of a deposition system according to another embodiment of the invention;

FIG. 5 depicts a schematic view of a deposition system according to another embodiment of the invention;

FIG. 6 presents a method for performing conformal deposition of metal in a high aspect ratio feature according to an embodiment of the invention;

FIG. 7 presents an exemplary ionization fraction of metal and background gas ions in a high density plasma;

FIG. 8A illustrates an aspect angle from an internal point of a feature;

FIG. 8B presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 2.25;

FIG. 8C presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 8;

FIG. 9A provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the flat field;

FIG. 9B provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the feature;

FIG. 10A presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a first process condition;

FIG. 10B presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a second process condition;

FIG. 10C presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a third process condition;

FIG. 10D presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fourth process condition;

FIG. 10E presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fifth process condition;

FIG. 10F presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a sixth process condition;

FIG. 10G presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a seventh process condition;

FIG. 10H presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for an eighth process condition;

FIG. 11 illustrates an exemplary process window showing coverage as a function of metal density;

FIG. 12A is a cross-sectional diagram of a contact via having a barrier layer deposited according to methods of the prior art; and

FIG. 12B is a cross-sectional diagram of a contact via having a barrier layer deposited according to some embodiments of a method and apparatus according to the present invention.

DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS

In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition system and various shapes of the plasma source and the distributed metal source. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.

Nonetheless, it should be appreciated that, contained within the description are features which, notwithstanding the inventive nature of the general concepts being explained, are of an inventive nature.

For example, inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source is coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 (electrons per cubic centimeter) in the process chamber and a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a total density of metal atoms and metal ions that is less than the plasma density.

As another example, inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface. As a further example, inventive aspects include providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface wherein the ratio of the total metal density to the plasma density is relatively uniform across the substrate surface.

As a further example that contains features referred to above as well as other inventive aspects, a deposition system and method may be provided for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface. As a still further example, additional inventive aspects are included in providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface throughout a volume that extends from the substrate surface toward the plasma and includes either a plasma sheath, the plasma sheath and a near sheath, the plasma sheath and the near sheath and at least some of the plasma inside the near sheath, or a thickness of approximately ¼th the diameter of the substrate.

Other examples are contained throughout the description of the various embodiments below, as well as in the claims as set forth below.

An example of advantages realized with certain embodiments of the present invention is illustrated in FIG. 12B, which shows the result of a deposition of a film 31 on a substrate 30 having high aspect ratio (e.g., 7:1) features, such as a 65 nm wide via 32. The film 31 may, for example, have a thickness of 5 nm±1.25 nm across the flat field or field area 33 of the substrate and the sidewalls 34 and bottom 35 of the feature 32 on the substrate 30.

In order to improve deposition characteristics particularly in high aspect ratio features, the present invention improves a deposition system and method of operation to affect improvements in chemical transport local to an exposed substrate surface. The exposed substrate surface is exposed to material deposition steps, the combination of which serve to alter the material composition and/or topography of the exposed substrate surface. For example, the deposition system may be said to utilize ion stimulated conformal nanoscale deposition.

According to an embodiment of the invention, a system for conformal deposition of thin films is described. The deposition system provides conditions for highly uniform feature coverage within high aspect ratio (HAR) features. Within the deposition system, a plasma source produces a high density plasma (i.e., where the electron density, ne,>1012 cm−3). Additionally, within the deposition system, a metal source produces a metal adatom. The formation of plasma and the generation of metal adatom is performed in such a way to maintain a constant ratio of the metal density (including the density of neutral metal atoms and the density of metal ions) to the plasma density (or electron density, or ion density) of less than or equal to unity (e.g., nmetal/ne=const≦1). The inventor has observed that performing the deposition to meet this condition has provided conformal coverage of the flat field surface and feature internal surfaces across the substrate at the same deposition rate. Additionally, the deposition is, for instance, occurring in a surface kinetic mode across the substrate surface, and contributing to enhanced rate at feature sidewalls.

Referring now to FIG. 1, a deposition system 100 is presented according to an embodiment of the invention. Deposition system 100 includes a process chamber 110, and a substrate holder 112 coupled to the process chamber 110, and configured to support a substrate 114. Additionally, the deposition system 100 includes a plasma source 120 coupled to the process chamber 110 and configured to form plasma in process space 140 within process chamber 110. Additionally, the deposition system 100 includes a metal source 130 coupled to the process chamber 110, and configured to introduce a metal adatom to process space 140 in process chamber 110. The deposition system 100 can further comprise a gas injection system 160 coupled to the process chamber 110, and configured to introduce an inert gas, such as a Noble gas, to the process space 140 in process chamber 110. Optionally, the deposition system 100 can further comprise a control system 150 coupled to the process chamber 110, the substrate holder 112, the plasma source 120, and the metal source 130, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 100 according to, for example, a process recipe.

The formation of plasma and the generation of metal adatom is performed in such a way to maintain a ratio of the metal density to the plasma density (or electron density, or ion density) of less than or equal to unity (e.g., nmetal/ne≦1). The ratio can, for example, be maintained less than or equal to unity for at least one point in space and spaced from the surface of substrate 114. Meeting such a condition will cause a density distribution that will allow realization of at least some of the advantages of the invention. Alternatively, a spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114. Alternatively, the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114, and it can be maintained substantially uniform, or within ±25%, across the surface of substrate 114. Alternatively, the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114, and it can be maintained substantially constant across the upper surface of substrate 114 (e.g., nmetal/ne≈const≦1). For instance, the spatial distribution of the metal adatom density can be represented by the function ƒ(x,y), and the spatial distribution of the plasma density can be represented by the function g(x,y), wherein x and y represent a two-dimensional rectilinear coordinate system parallel to the upper surface of substrate 114 (see FIG. 2). The function ƒ(x,y) can be substantially constant across the upper surface of substrate 114, and the function g(x,y) can be substantially constant across the upper surface of substrate 114, wherein the ratio of ƒ(x,y) to g(x,y) at any point in space (x,y) is less than or equal to unity. Alternatively, the function ƒ(x,y) is not uniform across the upper surface of substrate 114, and the function g(x,y) is not uniform across the upper surface of substrate 114; however, ƒ(x,y) and g(x,y) are similar functions, and the ratio of ƒ(x,y) to g(x,y) at any location in space (x,y) is less than or equal to unity. For example, the similarity of functions can be characterized as the mathematical similarity of the functions ƒ(x,y) and g(x,y) (i.e., shape, curvature, etc.). Alternatively, the ratio can be maintained substantially invariant as a function of time.

Referring still to FIG. 1, plasma source 120 can include an electrode coupled to a power source, such as a radio frequency (RF) generator, or a coil antenna coupled to a power source, such as a helical coil or other antenna coupled to an RF generator. For example, the plasma source 120 can include a capacitively coupled plasma (CCP) source, or an inductively coupled plasma source (ICP), or combination thereof. Additionally, for example, sub- and atmospheric ICP sources generate plasma with electron density of approximately ne≈(1-4)×1014cm−3 and electron temperature of approximately ˜0.2 eV to approximately 0.6 eV with 100% ionization of the metal adatom. Alternately, plasma source 120 can include a source capable of production of large area plasmas, such as electron beam sources with low electron temperature and electron density of approximately ne≈1.2×1012 cm−3 and above, as well as those capable of high density flat plasma production based on surface waves, helicon, or electron cyclotron resonance (ECR) plasma sources.

Metal source 130 can, for example, be distributed about the perimeter of process chamber 110, from which metal adatoms enter process space 140. For example, a metal target may be utilized as a source of metal. The target can be biased using direct current (DC), or alternating current (AC) to generate metal adatoms through a sputtering process. Alternately, other metal sources, such as magnetrons, can be used. For example, pulsed laser deposition, high power pulsed magnetron sputtering, plasma assisted sputter techniques, etc., can be utilized. Additionally, for example, the metal source 130 can include a plurality of metal sources. The plurality of metal sources can be coupled to a power source. Alternately, each metal source can be independently coupled to a separate power source. Alternately, the power can be alternatingly and sequentially coupled to the plurality of metal sources using one or more power sources.

Substrate holder 112 can include an electrode through which AC power, such as RF power, or DC power is coupled to substrate 114. For example, substrate holder 112 can be electrically biased at an RF voltage via the transmission of RF power from an RF generator through an impedance match network to substrate holder 112. The RF bias can serve to heat electrons to form and maintain plasma. Alternatively, the RF bias can serve to affect the ion energy of ions incident on the upper surface of the substrate. A typical frequency for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. Furthermore, an impedance match network can serve to improve the transfer of RF power to plasma in the process chamber by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.

Additionally, the substrate holder 112 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp substrate 114 to the substrate holder 112. Furthermore, substrate holder 112 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from substrate holder 112 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, a heat transfer gas can, for example, be delivered to the back-side of substrate 114 via a backside gas system to improve the gas-gap thermal conductance between substrate 114 and substrate holder 112. For instance, the heat transfer gas supplied to the back-side of substrate 112 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of substrate 114. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers can be included in the substrate holder 112, as well as the chamber wall of the process chamber 110.

Furthermore, control system 150 can include a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 100 as well as monitor outputs from deposition system 100. Moreover, control system 150 can be coupled to and can exchange information with process chamber 110, plasma source 120, distributed metal source 130, gas injection system 160, and vacuum pump system (not shown). For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of deposition system 100 according to a process recipe in order to perform a deposition process. One example of control system 150 includes a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.

Referring now to FIGS. 3A and 3B, another deposition system 200 is presented according to an embodiment of the present invention. Deposition system 200 includes similar elements as the deposition system 100 described in FIG. 1. However, deposition system 200 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms. For example, deposition system 200 includes a first plasma source 220 coupled to and surrounding a lower portion of the process chamber 210 and configured to form plasma in process space 240, and a second plasma source 222 coupled to and surrounding an upper portion of the process chamber 210 and configured to form plasma in process space 240.

Additionally, the deposition system 200 includes a distributed metal source 230 coupled to the process chamber 210, and configured to introduce a metal adatom to process space 240 in process chamber 210. The position of the distributed metal source 230 can be as indicated in FIG. 3A and FIG. 3B.

As shown in FIG. 3A, deposition system 200 can further comprise a buffer device 270. For example, a portion of the process chamber 210 does not contain either a distributed metal source, or a plasma source, but rather it constitutes a buffer surface to compensate for the different processing rates at the substrate. The buffer surface 270 can include at least one of a convex, planar or concave shape, and it may be fabricated of conductive material, such as aluminum, stainless steel, etc. The purpose of the buffer device 270 can, for example, be to complement the radial distribution of metal adatom in process space 240. Since the surface reactions are sensitive to the surface temperature, the buffer surface (buffer device 270) can contain temperature control elements, such as cooling and/or heating devices, in order to control its surface temperature. Additionally, for example, the buffer device 270 can be connected to a DC, or AC power supply. Additionally, the buffer device 270 can contain a magnetic field structure that generates static, or dynamic magnetic field by means of permanent magnets, or electromagnets. Additionally, the buffer device 270 can be translatable. For example, the buffer device 270 can translate in a direction perpendicular to the substrate 214 in order to alter the spacing between the buffer device 270 and substrate 214.

The deposition system 200 can further comprise a gas injection system 260 coupled to the process chamber 210, and configured to introduce an inert gas, such as a Noble gas, to the process space 240 in process chamber 210. Optionally, the deposition system 200 can further comprise a controller 250 coupled to the process chamber 210, the substrate holder 212, first plasma source 220, second plasma source 222, and the distributed metal source 230, wherein it may be configured to perform at least one of operate, adjust, monitor, or control the deposition system 200 according to, for example, a process recipe.

Referring now to FIG. 4, another deposition system 300 is shown corresponding to yet another embodiment of the invention. The deposition system 300 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms. For example, deposition system 300 includes a first plasma source 320 coupled to and surrounding a lower portion of the process chamber 310 and configured to form plasma in process space 340, and a second plasma source 322 coupled to and located above the process chamber 310 and configured to form plasma in process space 340. Additionally, the deposition system 300 includes a distributed metal source 330 coupled to the process chamber 310, and configured to introduce a metal adatom to process space 340 in process chamber 310. The deposition system 300 can further comprise a gas injection system 360 coupled to the process chamber 310, and configured to introduce an inert gas, such as a Noble gas, to the process space 340 in process chamber 310. Optionally, the deposition system 300 can further comprise a control system 350 coupled to the process chamber 310, the substrate holder 312, the plasma sources 320 and 322, and the distributed metal source 330, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 300 according to, for example, a process recipe. Deposition system 300 can further comprise a buffer device 370 as described above.

Additionally, for example, FIG. 5 illustrates a layout for a deposition system 400 having a control system 410 for controlling deposition system 400. The control system 410 includes a user interface 420 for setting process parameters and hardware parameters, and a primary controller 422 configured to operate the deposition system according to the set parameters. Control system 410 further includes a first sub-controller 430 configured to operate a pressure control system 432, a gas flow control system 434 and a gas supply system 438, and a pumping system 436. Additionally, control system 410 includes a second sub-controller 440 configured to operate a plasma source power generator and control system 442 and a deposition system cooling and heating system 444, as well as a third sub-controller 446 configured to operate a distributed metal source DC power generator and control system 448. The plasma source power generator and control system 442 and the distributed metal source DC power generator and control system 448 are coupled to the process chamber 465, and configured to operate a plasma source 470 having one or more plasma generating elements 471, 472 and a distributed metal source 480 having one or more metal sources 481, 482, 483, respectively. A fourth sub-controller 450 is configured to operate a substrate handling system 452, a fifth sub-controller 454 is configured to operate a chuck and de-chucking system 456, and a sixth sub-controller 458 is configured to operate a substrate holder power generator and control system 460. Furthermore, a diagnostic system 490 having one or more sensors and instrumentation can be coupled to the process chamber 465, the plasma source 470, and the distributed metal source 480, and configured to provide operational data to the user interface 420.

As illustrated in FIG. 5, the primary controller 422 can be locally located relative to the deposition system 400, or it can be remotely located relative to the deposition system 400. For example, controller 422 can exchange data with deposition system 400 using at least one of a direct connection, an intranet, and the internet. Controller 422 can be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it can be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, controller 422 can be coupled to the internet. Furthermore, another computer (i.e., controller, server, etc.) can, for example, access controller 422 to exchange data via at least one of a direct connection, an intranet, and the internet.

In the deposition systems described in FIGS. 1 through 5, the distributed metal source can produce metal vapor flux from targets that is thermalized at a gas pressure which is higher than a typical sputtering pressure (typically >30 mTorr). A particularly inventive embodiment of the invention employs the metal to plasma ratio in ion stimulated nanoscale deposition that uses a thermalized plasma or metal vapor in the vacuum processing chamber. The suitable ICP source produces a high density plasma and effective ionization of the metal in bulk volume. Metal ions diffuse towards the substrate surface and are accelerated by a voltage gradient in the pre-sheath and sheath (potential difference between plasma potential and wafer potential). Surface coverage can occur in the surface kinetic mode at high metal ion flux fraction but relatively low total metal density. Due to surface collisions at the flat field, recombined metal ions are returned back to the bulk plasma. Within the feature, the sidewalls provide a capturing effect for metal that escapes the bottom of the feature due to Penning excitation of the background gas, such as argon, and charge exchange of metal ions with the background gas. At these conditions, the ion based deposition rate from the bulk plasma is larger than the generation rate of neutrals at the bottom of the feature, and the generation rate of neutrals is larger than the deposition rate by neutrals from bulk plasma; i.e., conformality is larger than CB/FF≧1 (B=feature bottom, FF=flat field; i.e., CB/FF represents the ratio of the deposition rate at the feature bottom to the deposition rate at the flat field). When the reflected and re-sputtered metal from the bottom is less than the amount of the metal consumed at the walls through surface reactions, then CSW/B≧1 (SW=feature sidewall).

Referring now to FIG. 6, a method of depositing a thin film in a high aspect ratio feature is described. The method includes a flow chart 500 beginning in 510 with disposing a substrate in a deposition system. For example, the deposition system can include any one of the depositions systems described above in FIGS. 1 through 5.

In 520, a plasma is formed in the deposition system using one or more plasma sources. The plasma can be formed by introducing a background gas, such as an inert gas, and by coupling power, sufficient for ionization, to the gas.

In 530, a metal is introduced to the deposition system from one or more metal sources.

In 540, a process is established for depositing the metal on the substrate, wherein the ratio of the metal density to the plasma density is substantially equal to unity or less than unity. For example, the process conditions for achieving this ratio are described in greater detail below.

In 550, a conformal deposition of metal on the substrate is performed utilizing the process conditions formed in the deposition system.

In one example, a method to identify the bounds of a process, or process window, for achieving conformal coverage, or more specifically a specific metal-to-plasma density ratio and a distribution thereof, can be borne from first principles simulation, or experimentation, or both. As described above, a metal film is deposited on a substrate, wherein a metal vapor, such as copper, aluminum, tantalum, titanium, etc., is formed in the presence of a background gas, such as a Noble gas, during which multiple collisions create an energetically multi-component plasma environment through elementary reactions. In a metal-gas plasma, such as a metal-argon plasma, five atomic components can be present that comprise a different energetic status and behave differently. For example, the principal collisions that produce these particles are:

Electron collisions with parent gas atoms in bulk plasma:

    • (1) (electron impact excitation) Ar(g)+e→Ar+(g)+e
    • (2) (electron impact ionization) Ar(g)+e→Ar+(g)+2e

Electron collisions with metal atoms in bulk plasma:

    • (3) (electron impact excitation) M(g)+e→M+(g)+e
    • (4) (electron impact ionization) M(g)+e→M+(g)+2e

Metal collisions with parent gas atoms in bulk plasma:

    • (5) (Penning excitation of the argon) M + ( g ) + Ar 0 ( g ) -> bulk M + ( g ) + Ar * ( g )
    • (6) (charge exchange) M + ( g ) + Ar * ( g ) -> bulk M 0 ( g ) + Ar + ( g )
    • (7) (Penning ionization of the metal) M 0 ( g ) + Ar * ( g ) -> bulk M + ( g ) + Ar 0 ( g ) + e -

In a low density plasma (ne<1010 cm−3), Penning ionization (see equation 7) is responsible for the majority of metal-ionizing collisions. In a high density plasma (ne>1011 cm−3), the principal metal ionization channel is electron impact ionization (see equation 3). The principal loss channel for charged particles in the bulk plasma is ambipolar diffusion towards the walls and consequent recombination at the wall surface. Ionized metal constitutes a certain fraction of the overall ion density in the argon plasma. When the metal vapor density is comparable to the parent argon density, the fraction is determined by the electron temperature and ionization potentials of both components (e.g., Ei(Cu)≈7.724 eV, Ei(Ar)≈15.755 eV). Since the ionization potential for the metal is less than argon at a given electron temperature (other practical metals for interconnects have a comparable ionization potential to copper, e.g., Ei(Ta)≈7.88 eV, Ei(Al)≈5.984 eV, Ei(Ti)≈6.82 eV, etc.) for metal densities nM<ne, a larger fraction of metal can be ionized than for nn>ne.

For example, FIG. 7 presents results from a global kinetic model for a copper-argon plasma. For example, the results presented in FIG. 7 reflect the ionization fraction of metal and argon ions in the bulk high density plasma (p˜65 mTorr, ne˜1.6×1012 cm−3, Te˜1.75 eV) and the metal ion flux fraction to the surface for the bulk metal density at the floating substrate. The dashed lines (1) show a reduction in metal (Cu) ion density when exceeding plasma density (2). The model output is based on kinetic processes described above (relations (1) to (7)), and experimental data on plasma density and electron temperature measurements. In order to convert the metal ion density to metal ion flux at a surface, the acceleration of ions to the Bohm velocity by the plasma pre-sheath and the sheath potential difference Vplasma−Vbias is considered. For example, an increase in the bias can increase the metal ion flux to the surface. The flux of the neutral metal is not affected by the accelerating voltage gradient. This result causes the fraction of ionized metal flux to a substrate (3) to be larger than the fraction of ionized metal (4) in the plasma.

The metal deposition occurs within a plasma sheath at the substrate surface (or any other surfaces), in which depletion of an electron density occurs (ne→0). Additionally, no electron impact collisions occur within the sheath since, for example, at pressures of 30 to 100 mTorr, an ion-neutral mean free path (1-3 mm) is greater than the sheath width (<Li-n>≧1 mm>>dsheath˜30-100 μm), and thus the sheath can be considered collisionless. Moreover, the neutral flux within a feature is significantly diminished due to the very low aspect angle from a point at the feature surface (see FIG. 8). Under these conditions, the ion stimulated collisions at the surface can play an important role in the metal transport. The presence of the surface plays the role of the third body in collisions and provides advantageous conditions for energy transfer between colliding particles at the surface. In the kinetic model, it is assumed that the following surface collisions can contribute to the deposition rate:

    • (8) (Penning excitation of the argon) M + ( g ) + Ar 0 ( s ) -> E , surface M 0 ( g ) + Ar * ( s ) + e ( s )
    • (9) (charge exchange) M + ( g ) + Ar * ( s ) -> E , surface M 0 ( g ) + Ar + ( s ) -> M 0 ( g ) + Ar 0 ( s ) + e ( s )
    • (10) (Penning ionization of the metal) M 0 ( g ) + Ar * ( s ) -> surface M + ( g ) + Ar 0 ( s ) -> E , surface -> E , surface M + ( s ) + Ar 0 ( s ) -> E , surface M 0 ( s ) + Ar 0 ( s ) + e ( s )

Further, the direct deposition of the neutral metal atoms, the accelerated metal ions, the reflected metal ions from the surface, and the resputtering of the substrate surface is also considered.

    • (11) (ion deposition mechanism) M + ( g ) { -> E , deposited M + ( s ) -> surface M ( s ) + e ( s ) -> E , reflected M reflected + ( g ) -> E , deposited M reflected + ( s ) -> M ( s ) + e ( s ) -> E , resputtered M ( s ) + M ( g ) + M 0 ( s ) -> E , charge_exchange M ( s ) + M + ( s ) -> E , deposited 2 M ( s ) + e ( s )

The overall mechanisms considered in the kinetic model are shown schematically in FIGS. 9A and 9B. Solutions to the kinetic model provide the deposition rate on the flat field surface (D.R.FF), the bottom (D.R.B), and the sidewall (D.R.SW) surface in terms of metal density (nM), plasma density (ne) and particular rate constants of the described above surface collisions: D . R . FF ( n e , n M ) B 1 n M + K 1 n e n M - Y ( φ , E ) n e + B 3 n e n M n Ar - K 2 n e n M n Ar - K 3 n e 2 n M n Ar ( 12 ) D . R . B ( n e , n M ) Θ ( H ) B 1 n M + K 1 n e n M [ 1 - R ( φ B ) ] - Y ( φ , E ) n e + Θ ( H ) 2 B 3 n e n M n Ar - Θ ( H ) K 2 n e n M n Ar - Θ ( H ) K 3 n e 2 n M n Ar ( 13 ) D . R . SW ( n e , n M ) Θ ( z ) B 1 n M + ( 1 + R ( φ B ) 4 A R ) K 1 n e n M + 1 4 A R Y ( φ B , E ) n e + ( 1 + 1 4 A R ) Θ ( z ) 2 B 3 n e n M n Ar + 1 4 A R Θ ( z ) K 2 n e n M n Ar + 1 4 A R Θ ( z ) K 3 n e 2 n M n Ar ( 14 )

Where the first term on the right hand side (having B1) describes the deposition rate due to neutral metal, the second term (having K1) describes the deposition rate due to ionized metal, the third term (having Y(φ, E)) describes the deposition rate due to the resputtering rate, the fourth term (having B3) describes the deposition rate due to Penning ionization of metal, the fifth term (having K2) describes the Penning excitation of argon, the sixth term (having K3) describes charge exchange between metal and argon, R(φB) represents the reflection coefficient, nAr represents the background gas bulk density, Θ(H) represents the neutral flux fraction at the bottom of the feature, Θ(z) represents the neutral flux fraction at the sidewall of the via, and AR is aspect ration of the feature.

Additionally, the principal metal transport inside a feature is in molecular regime with high surface collision frequency. At exploited conditions, the surface collision frequency is comparable to the bulk plasma collision frequency. Furthermore, the direct deposition of the neutral metal inside a feature can depend on the position inside the feature.

Analysis of the bottom to flat field coverage CB/FF=DB(ne, nM)/DFF(ne, nM), and sidewall to bottom coverage CSW/B=DSW(ne, nM)/DB(ne, nM) are shown in Table 1 and Table 2. At reduced metal density nM<ne, surface reactions predict conformal coverage of the feature CSW/B(ne, nM)≈1, without overhang build-up. Conformal coverage can be achieved when the metal density nM satisfies the condition nmcritical<nM<ne, and the plasma density ne satisfies the relation ne(1)≦ne≦ne(2). Here, nncritical (Ub, ne, nAr, B1, B3, K1, K2, K3, R(φB)) is a complex function of the surface rate constants, reflection coefficient, plasma density, and argon background pressure, and it can be dependent on the physical properties of the actual metal deposited. Specifically, it can be sensitive to process parameters such as the bias at the substrate, and as a result it causes nMcritical→ne. Plasma density can be determined by similar parameters in a complex way including the aspect ratio of the feature as a parameter. The most important conclusion of the model is that there exist intervals, or process windows, for metal density and plasma density where CB/FF≈1 and CSW/B≈1.

From the process point of view based on metal fractions in plasma and applied bias voltage to the substrate, several process windows can be identified for conformal coverage of the high aspect ratio features (see FIGS. 10A through 10H). For example, FIGS. 10A through 10H present the feature sidewall to bottom coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated), and the feature bottom to flat field coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated) for different process parameters, namely: (10A) No substrate bias, and low metal density; (10B) Substrate bias corresponds to 5% resputtering rate and low metal density; (10C) Substrate bias corresponds to 10% resputtering rate (relative to the deposition rate) and low metal density; (10D) Substrate bias corresponds to 15% re-sputtering rate and low metal density; (10E) Substrate bias corresponds to 25% re-sputtering rate and metal density is comparable to plasma density; (10F) Substrate bias corresponds to 50% resputtering rate and metal density is comparable to plasma density; (10G) Substrate bias corresponds to 75% re-sputtering rate and metal density is comparable to plasma density; and (10H) Substrate bias corresponds to 100% re-sputtering rate and metal density is comparable to plasma density.

According to one embodiment, the process window for conformal coverage includes low bias power (to the substrate), and nM<0.1 ne, or preferably nM≦nM ,critical<ne (illustrated in FIGS. 10A, B, C, D at various bias conditions). In this process window, CSW/B→1, and even when CSW/B≧1, a moderate increase in the bias power (increase in re-sputtering rate) can increase the sidewall to bottom coverage from conformal to overconformal. Overall, the deposition rate is relatively low, and it can be increased by increasing the plasma density in the bulk plasma, i.e., ne→1013 cm−3 and above. The bottom to flat field coverage is low CB/FF<0.2, and no overhang is produced.

TABLE 1 COVERAGE BOTTOM/FLAT FIELD NO SURFACE REACTIONS SURFACE REACTIONS LOW C = 0 direct ion flux is balanced with resputtering rate (OVERHANG) n M Y ( φ , E ) n e K 1 n e ( 1 - R ( φ ) ) direct ion flux is balanced with resputtering rate (OVERHANG) n M Y ( φ , E ) n e K 1 n e ( 1 - R ( φ ) ) CONFORMAL C = 1 does not have physical nM = 0 ne = 0 metal due to arriving neutrals, Condition is satisfied solution (OVERHANG) R(φ) = 0 Penning ionization and reflected independently on ion flux is balanced by Penning metal density excitation and charge exchange fluxes (OVERHANG) SEMICON- FORMAL 0 < C < 1 to satisfy an ion based net deposition rate should be produced (OVERHANG) n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) arriving ion based contribution must be larger than surface neutral metal generation (OVERHANG) n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) - ( K 2 + K 3 n e ) n Ar OVER CONFORMAL C > 1 to satisfy an ion based net deposition rate should be produced n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) arriving ion based contribution must be larger than surface neutral metal generation, last one larger than arriving neutrals flux n M > Y ( φ , E ) n e B 1 + K 1 n e + B 3 n e n Ar - [ K 2 n e n Ar + K 3 n e 2 n Ar ] EROSION C < 0 depleted ion arriving flux comparing to resputtering (PUNCH THROUGH) n M < Y ( φ , E ) K 1 ( 1 - R ( φ ) ) depleted ion arriving flux comparing to resputtering n M < Y ( φ , E ) K 1 ( 1 - R ( φ ) )

According to another embodiment, the process window for conformal coverage includes medium bias power (to the substrate), and 0.1 n M - n e n e 1 ,
or preferably n M , critical n e n M - n e n e 1
(illustrated in FIGS. 10E, F, G at various bias conditions). In this process window, CSW/B≦1, and it is comparable to CSW/B≈CB/FF. The deposition rate is reasonable. Both CSW/B and CB/FF can be controlled by the metal source generation rate. The process window is larger for a lower bias, and it shrinks at higher bias conditions. Overhang formation rate is still relatively low.

According to another embodiment, the process window for conformal coverage includes high bias power (to the substrate), and nm≧ne (illustrated in FIGS. 10G, H at various bias conditions). On the metal density scale, this process window is similar to the first process window for low bias power.

TABLE 2 COVERAGE SIDEWALL/BOTTOM NO SURFACE REACTIONS SURFACE REACTIONS LOW C = 0 does not have physical nM < 0 does not have physical nM < 0 solution solution CONFORMAL C = 1 does not have physical nM < 0 reflected and resputtered metal from bottom is solution balanced with exactly same amount of metal restricted solution consumed at walls through surface reactions (convergency under question) n M >> n M critical ( flux bulk ) n e >> n e critical ( flux bulk ) A R > A R critical ( R ( φ ) ) n M >> n M critical ( flux_from _bulk surf_reactions ) n e ε n e ( 1 ) > n e ( 2 ) SEMI- 0 < C < 1 does not have physical nM < 0 reflected and resputtered metal from bottom is CONFORMAL solution larger than metal amount consumed at walls through surface reactions n M >> n M critical ( flux_from _bulk surf_reactions ) n e ε n e ( 1 ) > n e ( 2 ) OVER C > 1 does not have physical nM < 0 reflected and resputtered metal from bottom is CONFORMAL solution lower than metal amount consumed at walls through surface reactions n M > n M critical ( flux_from _bulk surface_reactions ) n e ε n e ( 1 ) > n e ( 2 ) EROSION C < 0 does not have physical nM < 0 does not have physical nM < 0 solution solution

This region can be applicable for applications to enhance bottom coverage without effecting sidewall coverage. It may also be used in sequence with processes operating in the low bias power process window in order to combine optimized sidewall-to-bottom coverage with enhanced bottom-to-flat field coverage without creating overhang structure. The conformality CSW/B→0 in this process window.

According to another embodiment, the process window for conformal coverage includes nM>ne (or more effective at nM>>ne) which is characteristic by overhang formation due to increased deposition rate. This process window is exploited by current PVD and iPVD processes; however, due to overhang, it does not provide good coverage for high aspect ratio features.

In yet another embodiment, the process conditions are selected such that the plasma density is sufficiently high to allow reasonable deposition rates, and satisfy the condition ne>nM, e.g., ne≈1012→1013 cm−3 and higher. For example, a plasma density of approximately ne→1013 cm−3 can provide sufficient deposition rates ˜10-100 nm/min for nanoscale deposition.

Additionally, the distributed metal source can deliver an optimized amount of metal satisfying the condition nM<ne, that is highly ionized at an arbitrary location above the substrate with reduced or even eliminated rarefaction impact and overhang formation. The distributed metal source can provide higher ionization, hence, providing higher uniformity by geometry and operation (small metal source is less coupled to plasma source).

Additionally, another process condition includes nmetal/ne=const≦1, and nM≧nMcritical. Sustaining a constant ratio of the metal density to plasma density over the whole substrate can provide conditions for surface reactions within the conformal coverage mode, thus uniform coverage of features or structures across the substrate can be achieved.

Following the results of the kinetic model described above, one process recipe for optimal feature coverage can include conditions extracted from the first (low bias power) and third process windows. For example, this process recipe can be provided by low metal source generation, and changing the bias of the substrate from a no bias condition to a medium bias condition (e.g., pulsing bias power). For a typical ICP plasma (using ionized metal for metalization of 300 mm wafers) with density of several 1012 cm−3 at pressures from 30 to 100 mTorr, the density of metal≦1011 cm−3 (e.g., this can be achieved by 1-4 kW delivered from the DC power to the target) can be considered low enough to operate in the surface collision mode, e.g., in the first or third process window. At these conditions, the substrate bias can have two levels during process falling within the range from an unbiased substrate holder to a biased substrate holder at a level corresponding to a net deposition rate at the flat field of less than 20% of the unbiased deposition rate. For example, the substrate bias power can range from 0 W to several hundred Watts.

Another process recipe includes operation at medium bias conditions (i.e., the second process window, medium bias power), and metal source generation preset to comply with the condition n M , critical n e n M - n e n e 1 ,
or in other words, the metal density is close to plasma density range. For example, the net deposition rate at the flat field is in the range from 50% to 80% of the deposition rate at unbiased conditions (e.g., the substrate bias power ranges from approximately from 300 W to 800 W). Additionally, for example, the DC power supplied to the target can range from approximately 2 to 6 kW in order to generate a total metal density comparable to the plasma density.

Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

1. A deposition system for forming a thin film in a high aspect ratio feature on a substrate comprising:

a process chamber;
a substrate holder coupled to said process chamber, and configured to support said substrate;
a plasma source coupled to said process chamber, and configured to form a plasma in said process chamber; and
a metal source coupled to said process chamber, and configured to introduce a metal to said process chamber,
wherein said plasma source and said metal source are configured to produce a ratio between a metal density and a plasma density of less than unity above said substrate.

2. The deposition system of claim 1, further comprising:

a gas injection system coupled to said process chamber, and configured to introduce an inert gas.

3. The deposition system of claim 2, wherein said inert gas includes a Noble gas.

4. The deposition system of claim 1, wherein said plasma density is characterized by an electron density, or an ion density.

5. The deposition system of claim 1, wherein said ratio is substantially uniform across said surface of said substrate.

6. The deposition system of claim 1, wherein said ratio varies as a function of time.

7. The deposition system of claim 1, wherein a spatial distribution of said plasma density above said substrate is represented by ƒ(x,y), a spatial distribution of said metal density is represented by g(x,y), and x and y represent a two-dimensional rectilinear coordinate system parallel with said surface of said substrate, where said function g(x,y) is a substantially similar function as said function ƒ(x,y).

8. The deposition system of claim 1, wherein said plasma source includes one or more plasma generating elements.

9. The deposition system of claim 8, wherein said one or more plasma generating elements is selected from the group consisting of a capacitively coupled plasma generating element, an inductively coupled plasma generating element, a helicon plasma generating element, an electron cyclotron resonance plasma generating element, and a surface wave plasma generating element.

10. The deposition system of claim 1, wherein said plasma source includes at least one of an electrode, or a helical coil, or both.

11. The deposition system of claim 1, wherein said plasma source is configured to produce a high density plasma.

12. The deposition system of claim 1, wherein said plasma source is configured to produce a plasma density exceeding 1012 cm−3.

13. The deposition system of claim 1, wherein said plasma source includes a first plasma generating element and a second plasma generating element, where said first generating element and said second generating element are coupled to said process chamber in order to perform at least one of adjusting or controlling a spatial distribution of said plasma density above said substrate.

14. The deposition system of claim 13, wherein said first plasma generating element is coupled to a periphery of a lower portion of said process chamber, and said second plasma generating element is coupled to a periphery of an upper portion of said process chamber.

15. The deposition system of claim 13, wherein said first plasma generating element is coupled to a periphery of a lower portion of said process chamber, and said second plasma generating element is coupled to an upper surface of said process chamber.

16. The deposition system of claim 1, wherein said metal source includes a distributed metal source configured to perform at least one of adjusting or controlling a spatial distribution of said metal density above said substrate.

17. The deposition system of claim 16, wherein said distributed metal source includes one or more metal targets positioned about a periphery of said process chamber.

18. The deposition system of claim 16, wherein said one or more metal targets are coupled to a direct current (DC) power source.

19. The deposition system of claim 16, wherein said one or more metal targets include a plurality of targets coupled to a power source, said power source configured to alternatingly and sequentially power each of said plurality of targets.

20. The deposition system of claim 1, wherein said metal source includes a metal target coupled to a direct current (DC) power source.

21. The deposition system of claim 1, wherein said metal source comprises a source of at least one of aluminum, copper, tantalum, or titanium.

22. The deposition system of claim 1, further comprising:

a buffer device coupled to said process chamber, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.

23. The deposition system of claim 22, wherein said buffer device is coupled to an upper surface of said process chamber.

24. The deposition system of claim 22, wherein said buffer device includes at least one of a flat, convex, or concave shape.

25. The deposition system of claim 22, wherein said buffer device is electrically biased with at least one of direct current (DC) or alternating current (AC) power.

26. The deposition system of claim 22, further comprising:

a magnet system coupled to said buffer device, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.

27. The deposition system of claim 26, wherein said magnet system includes at least one of a permanent magnet array, or an electromagnet.

28. The deposition system of claim 26, wherein said magnet system includes at least one of a rotating magnet system, or a stationary magnet system.

29. The deposition system of claim 22, wherein said buffer device is translatable.

30. The deposition system of claim 29, wherein said buffer device translates in a direction substantially perpendicular to said substrate.

31. The deposition system of claim 22, wherein said buffer device is coupled to a cooling system, a heating system, or both.

32. The deposition system of claim 1, further comprising:

a magnet system coupled to said process chamber, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.

33. The deposition system of claim 32, wherein said magnet system includes at least one of a permanent magnet array, or an electro-magnet.

34. The deposition system of claim 32, wherein said magnet system includes at least one of rotating magnet system, or a stationary magnet system.

35. The deposition system of claim 1, wherein said substrate holder is configured to electrically bias said substrate. 1

36. The deposition system of claim 35, wherein substrate holder is coupled to a radio frequency (RF) generator.

37. The deposition system of claim 1, further comprising:

a control system coupled to said process chamber, said substrate holder, said plasma source, and said metal source, and configured to perform at least one of adjusting, monitoring, or controlling said deposition system.

38. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said plasma source is configured to produce said plasma density approximately equal to or exceeding 1012 cm3, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density approximately equal to or less than 1012 cm−3 using approximately 1 to 4 kW of DC power, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 0 to 1000 W of RF power.

39. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density using approximately 2 to 6 kW of DC power that is approximately equal to said plasma density produced by said plasma source, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 300 to 800 W of RF power.

40. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density using approximately 1 to 6 kW of DC power that is approximately equal to said plasma density produced by said plasma source, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 0 to 1000 W of RF power.

41. A method of depositing a thin metal film in a high aspect ratio feature on a substrate comprising:

disposing said substrate on a substrate holder in a deposition system;
forming a plasma having a plasma density within said deposition system using a plasma source;
introducing metal having a metal density within said deposition system using a metal source;
establishing a ratio of said metal density to said plasma density above said substrate, said ratio being approximately equal to or less than unity; and
performing within said feature on said substrate a conformal deposition having a uniformity of plus or minus twenty-five percent.

42. The method of claim 41, wherein said establishing said ratio includes adjusting said plasma density using said plasma source.

43. The method of claim 41, wherein said establishing said ratio includes adjusting said metal density using said metal source.

44. The method of claim 41, wherein said establishing said ratio above said substrate includes establishing said ratio locally above said substrate.

45. The method of claim 44, wherein said establishing said ratio locally above said substrate includes establishing said ratio in a temporary manner.

46. The method of claim 41, wherein said establishing said ratio above said substrate includes establishing said ratio in a temporary manner.

47. The method of claim 41, wherein said depositing of a comformal deposition includes depositing a film having a maximum thickness on the substrate that is less than half the width of the feature.

48. The method of claim 47, wherein said depositing of a comformal deposition includes depositing a film having a maximum thickness on the substrate that is less than approximately one-tenth of the width of the feature.

49. A method of depositing a thin film in a high aspect ratio feature on a substrate comprising:

disposing said substrate on a substrate holder in a deposition system;
providing said deposition system with a coating material source of a coating material having a coating material ionization potential;
introducing into said deposition system a processing gas having an ionization potential greater than said coating material ionization potential;
forming with said processing gas a plasma having a plasma density within said deposition system using a plasma source;
introducing coating material having a coating material density within said deposition system using said coating material source;
establishing a ratio of said coating material density to said plasma density above said substrate, said ratio being not more than unity; and
performing within said feature on said substrate a conformal deposition of said coating material having a uniformity of plus or minus twenty-five percent.

50. The method of claim 49, wherein said ratio is substantially uniform across said surface of said substrate.

51. The deposition system of claim 49, wherein said plasma source is configured to produce a plasma density exceeding 1012 cm-3.

52. The method of claim 49, wherein said depositing of a conformal deposition includes depositing a film having a maximum thickness on the substrate that is less than half the width of the feature.

53. The method of claim 49, wherein said depositing of a conformal deposition includes depositing a film having a maximum thickness on the substrate that is less than approximately one-tenth of the width of the feature.

54. A deposition system for forming a thin film in a high aspect ratio feature on a substrate comprising:

means for performing the method of claim 49.

55. The deposition system of claim 54 wherein said means for performing the method comprises:

a process chamber;
a substrate holder coupled to said process chamber, and configured to support said substrate;
a plasma source coupled to said process chamber, and configured to form a plasma in said process chamber; and
a source of said coating material coupled to said process chamber, and configured to introduce said coating material to said process chamber.
Patent History
Publication number: 20050266173
Type: Application
Filed: May 26, 2004
Publication Date: Dec 1, 2005
Applicant:
Inventor: Jozef Brcka (Mesa, AZ)
Application Number: 10/854,607
Classifications
Current U.S. Class: 427/569.000; 118/723.00R