Cleaning method and solution for cleaning a wafer in a single wafer process

The present invention is a novel cleaning method and a solution for use in a single wafer cleaning process. According to the present invention the cleaning solution comprises ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. And still yet another embodiment of the present invention the cleaning solution also comprises a dissolved gas such as H2. In a particular embodiment of the present invention, this solution is used by spraying or dispensing it on a spinning wafer.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description

This application is a divisional of U.S. patent application Ser. No. 11/145,304, filed on Jun. 3, 2005, which is a divisional of U.S. patent application Ser. No. 09/891,730, now U.S. Pat. No. 6,927,176, which claims the benefit of U.S. Provisional Application No. 60/214,116, filed Jun. 26, 2000 entitled CLEANING METHOD AND SOLUTION FOR CLEANING A WAFER IN A SINGLE WAFER PROCESS.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention relates to the field of semiconductor processing and more specifically to a cleaning solution and a method of using the cleaning solution in a single wafer cleaning process.

2. Discussion of Related Art

Wet etching and wet cleaning of silicon wafers is usually done by immersing silicon wafers into a liquid. This is also sometimes done by spraying a liquid onto a batch of wafers. Wafer cleaning and etching is traditionally done in a batch mode where several wafers (e.g. 50-100 wafers) are processed simultaneously. A typical cleaning sequence consists of HF-SC1-SC2. HF (HydroFluoric acid) is a dilute HF solution used for etching thin layers of oxide. This is typically followed by the Standard Clean 1 (SC1 solution) that consists of a mixture of NH4OH, H2O2, and H2O. Sometimes the SC1 solution is also called the APM solution, which stands for Ammonia hydrogen Peroxide Mixture. The SC1 solution is mainly used for removing particles and residual organic contamination. The SC1 solution, however, leaves metallic contaminants behind.

The final solution is the Standard Clean 2 solution (SC2) that is a mixture of HCl, H2O2, and H2O. Sometimes the SC2 solution is also called the HPM solution, which stands for Hydrochloric acid hydrogen Peroxide Mixture. The SC2 solution is mainly used for removing metallic contamination. The particular sequence of SC1 and SC2 is most often referred to as the RCA (Radio Corporation of America) cleaning sequence. Between the HF, SC1, and SC2 solutions there is usually a DI (de-ionized) water rinse. There is usually a DI water rinse after the SC2 solution.

The total time for a standard clean cycle is on the order of 64-70 minutes as shown in FIG. 1a. The HF step takes approximately 1-5 minutes. The SC1 step typically takes 10 minutes and the SC2 step also typically takes 10 minutes. The intermediate and final DI water rinse steps take about 8-10 minutes. The final drying of the wafers typically takes between 10-15 minutes. Typically 50-100 wafers are processed at the same time. If separate baths are used for different chemicals then after one batch with 50-100 wafers leaves a bath, a new batch 50-100 wafers can be loaded. Usually the rate limiting step is the dryer which takes up to 15 min. This means that roughly every 15 minutes a new batch of either 50-100 can be processed resulting in an overall throughput for the system of between 200-400 wafers per hour, respectively for batches of 50 or 100 wafers.

Because there is a need for shorter cycle times in chip manufacturing, there is a need for a fast single wafer cleaning process. In order to make a single wafer cleaning process economical, the processing time per wafer should be on the order of two minutes. This means the entire HF-SC1-SC2 sequence, which normally requires about 64-70 minutes, must be completed within two minutes and at least within three minutes. Unfortunately, presently it is not possible to perform an SC1-SC2 cleaning sequence in less than two minutes and at least within three minutes. Until now, wet processing is usually done in a batch mode, since the throughput of single wafer processing cannot compete with batch processing.

Thus, what is desired is a method of reducing the SC1 and SC2 cleans from the normal processing time to less than or equal to 1½ minutes. It is also required to reduce the time necessary for the HF step and the dry. The present invention shows how to reduce the time of the SC1-SC2 sequence from roughly 40 min down to 1½ min for use in a single wafer fashion and at least less than three minutes for the entire cleaning cycle including HF, cleaning, rinsing and drying.

SUMMARY OF THE INVENTION

The present invention is a method of use of a novel cleaning solution in a single wafer cleaning process. According to the present invention the method involves using a cleaning solution in a single wafer mode and the cleaning solution comprises at least ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. In another embodiment of the present invention the cleaning solution also includes a dissolved gas such as H2. The same cleaning solution containing ammonium hydroxide, hydrogen peroxide, a chelating agent, and/or a surfactant and/or dissolved hydrogen may also be used in a multiple wafer mode for certain applications. The present invention is also a DI water rinse solution that includes an oxidant and CO2 gas. All of these elements work in combination to improve processing efficiency.

Moreover, the present invention also teaches a method of combining an ammonia hydroxide, hydrogen peroxide, and chelating agent step with a short HF step in a fashion that minimizes process time in a way that the entire method removes aluminum and iron contamination efficiently without etching too much oxide.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1a is a time-line showing the traditional HF-SC1-SC2 wet bench procedure for an oxide etch and a hydrophilic clean (RCA clean.)

FIG. 1b is a time-line showing the cleaning process of the current invention for an oxide etch and hydrophilic clean in a single wafer cleaning tool.

FIG. 2a is a structure of a common chelating agent.

FIG. 2b is a structure of a common chelating agent that has bound metal ions at its ligand sites.

FIGS. 3a-3d are structures of specific chelating agents that are particularly useful in the current invention.

FIG. 4a is an illustration of an hydroxide terminated silicon dioxide film.

FIG. 4b is an illustration of a silicon dioxide film terminated by metal ions.

FIG. 5 is an illustration showing the surfactant attached to a particle in solution and to the surface of a wafer.

FIG. 6a is an illustration of a cross-sectional view of a single wafer cleaning apparatus.

FIG. 6b is an illustration showing the covering of the entire surface area of a plate with transducers.

FIG. 6c is an illustration showing how the transducers covered plate of FIG. 6b covers the entire surface area of a wafer being cleaned.

FIG. 6d is an illustration showing a close-up the venturi device that can be used in the single wafer cleaning apparatus.

FIG. 7a is an illustration of a membrane device that can be used in the single wafer cleaning apparatus.

FIG. 7b is a cross sectional illustration of a modified membrane that may be used in the membrane device of FIG. 7a.

FIG. 7c is an illustration of how the modified membrane works.

FIG. 8 is a flow-chart of the first embodiment of an HF etch and cleaning process for use in a single wafer cleaning apparatus.

FIG. 9 is a flow-chart of the second embodiment of an HF etch and cleaning process for use in a single wafer cleaning apparatus.

FIG. 10a is an illustration of a silicon wafer with an oxide layer before an HF etching step.

FIG. 10b is an illustration of a silicon wafer with a hydrophobic silicon surface after an HF etching step.

FIG. 11 is a flow-chart of a cleaning process in a single wafer cleaning apparatus after an O2 ashing step.

FIG. 12 is a flow-chart of a cleaning process employing a short HF etching step.

FIG. 13a is an illustration of the silicon dioxide film on a silicon wafer before a short HF etching step and FIG. 13b is the silicon wafer after a short HF etching step.

DETAILED DESCRIPTION OF THE PRESENT INVENTION

In the following description numerous specific details are set forth in order to provide a thorough understanding of the present invention. One of ordinary skill in the art will understand that these specific details are for illustrative purposes only and are not intended to limit the scope of the present invention. Additionally, in other instances, well-known processing techniques and equipment have not been set forth in particular detail in order to not unnecessarily obscure the present invention.

The present invention is a method, a solution, and a rinse for use in a single wafer cleaning process. The method is specifically useful for single wafer cleaning, but it may also be used in applications where more than one wafer is cleaned at a time. The novel cleaning solution is formulated in such a way as to increase the efficiency of the cleaning process. Both the cleaning solution and the rinsing solution are specifically useful for the removal of ionic metallic impurities and particles during the front of the line semiconductor processing sequence when the active regions of the device are exposed.

The wafer cleaning solution of the present invention consists of the solution resulting from the mixture of ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O), a chelating agent, and a surfactant. As well known in the art these compounds only dissociate into their respective ions and no chemical reactions occur among these compounds. The ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), and water (H2O) are present in concentrations defined by dilution ratios of between 5/1/1 to 1000/1/1, respectively. The ammonium hydroxide/hydrogen peroxide ratio can also be varied between 0.05/1 and 5/1 and in some cases no hydrogen peroxide is used at all. The ammonium hydroxide in this cleaning solution would be from a solution of 28-29% w/w of NH3 to water. The hydrogen peroxide in this cleaning solution would be from a solution of 31-32% w/w of H2O2 to water.

The purpose of the ammonium hydroxide and the hydrogen peroxide in the cleaning solution is to remove particles and residual organic contaminates from a wafer that comprises a monocrystalline silicon substrate on at least its front end. The purpose of the cleaning solution is also to oxidize the surface of the wafer. According to the preferred embodiment of the present invention the cleaning solution has an alkaline pH level due to the ammonium hydroxide and the hydrogen peroxide of between 9 and 12 and more specifically between 10 and 11.

The purpose of the chelating agent is to remove metallic ions from the wafer. Chelating agents are also known as complexing or sequestering agents. These agents have negatively charged ions called ligands that bind with free metal ions and form a combined complex that will remain soluble. The ligands bind to the free metal ions as follows:
Mx++Ly−→M(x−Y)+L
This is demonstrated in FIG. 2a and FIG. 2b with the common chelating agent ethylenediaminetetraacetic acid (EDTA.) In FIG. 2a the EDTA ion is not bound to any metal ions (Mx+). In FIG. 2b it is shown that one EDTA can bind up to six metal ions (Mx+). Common metallic ions that would be present on the wafer are copper, iron, nickel, aluminum, calcium, magnesium, and zinc. Other metallic ions may also be present.

Suitable chelating agents include polyacrylates, carbonates, phosphonates, and gluconates. There are several specific chelating agents that would be particularly useful as part of the cleaning solution. They are: ethylenediaminetetraacetic acid (EDTA) (see FIG. 2a), N,N′-bis(2-hydroxyphenyl)ethylenediiminodiacetic acid (HPED) (see FIG. 3a), triethylenetetranitrilohexaacetic acid (TTHA) (see FIG. 3b), desferriferrioxamin B (see FIG. 3c), N,N′,N″-tris[2-(N-hydroxycarbonyl)ethyl]-1,3,5-benzenetricarboxamide (BAMTPH) (see FIG. 3d), and ethylenediaminediorthohydroxyphenylacetic acid (EDDHA). These chelating agents were chosen because they each had an equilibrium constant (K) greater than 1015, and preferably greater than 1020 for Al3+. These K values were desired because they mean that the chelating agent will be able to remove aluminum from the wafer. The preferred range of concentrations for the chelating agent is between 0.001 mg/l to 300 mg/l and more specifically between 0.01 mg/l to 3 mg/l. Or alternately the chelating agent should be between 1-400 ppm of the cleaning solution, and preferably around 40 ppm of the cleaning solution. These concentrations are suitable because they enable the reduction of free metallic ions by roughly a factor of 106 or higher depending on the metallic ion.

During the modified SC1 clean, the surface of the wafer is covered with a silicon dioxide film terminated by hydroxide groups (Si—OH) as shown in FIG. 4a. Metals are bound to this surface as (Si—O)yM(x−y)+ as shown in FIG. 4b. The equilibrium reaction governing the binding (chemisorption) and unbinding (desorption) is described by the following equation:
Mx++y(Si—OH)→(Si—O)yM(x−y)++yH+
From this equation, one can see that there are two ways to remove metallic ions from the oxide surface. The first way is to increase the acidity [H+] of the solution. This produces a solution where most of the metallic ions that are common in semiconductor processing are soluble provided that there is a suitable oxidizing agent in the solution. Suitable oxidizing agents include O2, H2O2, and O3. The suitability of these ions is determined by their ability to prevent the reduction of any ions in the solution, such as copper (Cu2+.) Increasing the acidity and having a suitable oxidizing agent present is the method used by the most common metallic impurity removing solution, i.e. SC2.

The second way of removing metallic ions from the oxide surface is to decrease the free metal ion concentration [Mx+] in the solution. The free metal ion concentration of the solution may be decreased by adding a chelating agent to the solution. The same level of metal ion impurity removal found through the use of the SC2 solution may be achieved though the use of a chelating agent in the SC1 solution (the modified SC1 solution) by meeting two requirements. The first requirement is that the complex of the chelating agent and the bound metal ion remains soluble. The second requirement is that the chelating agent binds to all the metal ions removed from the wafer surface.

Chelating agents may be added to the solution at two distinct points. First, the agents may be added to the SC1 solution itself before the solution is dispensed onto the silicon wafer. Second, the agents may be added to concentrated NH4OH at the chemical manufacturing plant and shipped as a mixture to the integrated circuit manufacturer. Alternatively, the chelating agents may be added to the H2O2 at the manufacturing plant. This, however, is less desirable because many chelating agents are slowly oxidized by H2O2.

The advantages of using chelating agents to remove metallic impurities are that they do not require an acidic environment and that they reduce the overall cleaning time. Other methods of removing metal ions, such as the SC2 solution, require an acidic environment. Traditionally, acidic environments were required for the removal of metal ions therefore requiring that the metal ion removal step be done separately from the SC1 step. This is because the SC1 solution is very alkaline. Chelating agents work in very alkaline environments, allowing them to be added to the SC1 solution. By combining the metal ion removal with the SC1 cleaning step the overall cleaning time is reduced by eliminating the SC2 step. In the traditional SC1-SC2 cycle each step took about ten minutes. Because this cycle is typically repeated many times in the front end of semiconductor processing, the combination of the steps will dramatically reduce the cleaning time.

In an alternate embodiment the cleaning solution contains a surfactant. The purpose of the surfactant is to prevent reattachment or redeposition of particles on the wafer after they have been dislodged from the wafer. Preventing the reattachment of the particles is important because allowing the particles to reattach increases overall cleaning times. Therefore the surfactant is used to reduce the cleaning time and to make single wafer cleaning possible in less than two minutes as compared to 64 minutes in a batch type method (see FIG. 1a and FIG. 1b)

Surfactants are long hydrocarbon chains that typically contain a hydrophilic (polar water soluble group) and a hydrophobic group (a non-polar water insoluble group). The surfactants attach with their non-polar group to particles 500 (FIG. 5) as well as to the surface of the wafer 510. As a result the polar group of the surfactant 520 will point away from the wafer and away from the particles 500 towards the solution. Because of this the particles in the solution that are bound by the surfactant will be repelled electrostatically from the surface of the wafer because of the polar groups of the surfactant on both the particles and the surface of the wafer as in FIG. 5. The surfactant in the present invention is non-ionic, anionic, or a mixture of non-ionic and anionic compounds. Non-ionic means that the polar end of the surfactant has an electrostatic rather than an ionic charge and anionic means that the polar end of the surfactant has a negative ionic charge. In an embodiment of the present invention the surfactant is a mixture of non-ionic and anionic surfactants. The nonionic surfactant is polyoxyethylene butylphenyl ether and the anionic surfactant is polyoxyethylene alkylphenyl sulfate. In this embodiment, there are approximately 30 ppm of nonionic surfactant and approximately 30 ppm of anionic surfactant in the cleaning solution. A typical concentration range of the surfactant in the cleaning solution can be between 1-100 ppm. In an embodiment of the present invention the surfactant is an anionic compound called MCX-SD2000 manufactured by Mitsubishi Chemical Corporation of Tokyo Japan. MCX-SD2000 is around 1-10% surfactant and is used in a 0.05% concentration by volume in the cleaning solution.

The cleaning solution of the present invention is ideal for use in a single wafer cleaning apparatus that utilizes acoustic or sonic waves to enhance a cleaning, such as apparatus 600 shown in FIG. 6a. Single wafer cleaning apparatus 600 shown in FIG. 6a includes a plate 602 with a plurality of acoustic or sonic transducers 604 located thereon. Plate 602 is preferably made of aluminum but can be formed of other materials such as but not limited to stainless steel and sapphire. The plate is preferably coated with a corrosion resistant fluoropolymer such as Halar. The transducers 604 are attached to the bottom surface of plate 602 by an epoxy 606. In an embodiment of the present invention the transducers 604 cover substantially the entire bottom surface of plate 602 as shown in FIG. 6b and preferably cover at least 80% of plate 602. In an alternate embodiment of the present invention there are four transducers 604 covering the bottom surface of plate 602 in a quadrant formation and preferably covering at least 80% of plate 602. The transducers 604 preferably generate megasonic waves in the frequency range above 350 kHz. The specific frequency is dependent on the thickness of the wafer and is chosen by its ability to effectively provide megasonics to both sides of the wafer. But there may be circumstances where other frequencies that do not do this may be ideal for particle removal. In an embodiment of the present invention the transducers are piezoelectric devices. The transducers 604 create acoustic or sonic waves in a direction perpendicular to the surface of wafer 608.

A substrate or wafer 608 is horizontally held by a wafer support 609 parallel to and spaced-apart from the top surface of plate 602. In an embodiment of the present invention, wafer 608 is held about 3 mm above the surface of plate 602 during cleaning. In an embodiment of the present invention, the wafer 608 is clamped face up to wafer support 609 by a plurality of clamps 610. Alternatively, the wafer can be supported on elastomeric pads on posts and held in place by gravity. The wafer support 609 can horizontally rotate or spin wafer 608 about its central axis at a rate of between 0-6000 rpms. Additionally, in apparatus 600 wafer 608 is placed face up wherein the side of the wafer with patterns or features such as transistors faces towards a nozzle 614 for spraying cleaning chemicals thereon and the backside of the wafer faces plate 602. Additionally, as shown in FIG. 6c the transducer covered plate 602 has a substantially same shape as wafer 608 and covers the entire surface area of wafer 608. Apparatus 600 can include a sealable chamber 601 in which nozzle 614, wafer 608, and plate 602 are located as shown in FIG. 6a.

In an embodiment of the present invention DI water (DI-H2O) is fed through a feed through channel 616 of plate 602 and fills the gap between the backside of wafer 608 and plate 602 to provide a water filled gap 618 through which acoustic waves generated by transducers 604 can travel to substrate 608. In an embodiment of the present invention the feed channel 616 is slightly offset from the center of the wafer by approximately 1 mm. The backside of the wafer may alternately be rinsed with other solutions during this step. In an embodiment of the present invention DI water fed between wafer 608 and plate 602 is degassed so that cavitation is reduced in the DI water filled gap 618 where the acoustic waves are strongest thereby reducing potential damage to wafer 608. DiH2O can be degassed with well known techniques at either the point of use or back at the source, such as at facilities. In an alternative embodiment of the present invention, instead of flowing DiH2O through channel 616 during use, cleaning chemicals, such as the cleaning solution of the present invention can be fed through channel 616 to fill gap 618 to provide chemical cleaning of the backside of wafer 608, if desired.

Additionally during use, cleaning chemicals and rinsing water such as DiH2O are fed through a nozzle 614 to generate a spray 620 of droplets that form a liquid coating 622 on the top surface of wafer 608 while wafer 608 is spun. In the present invention the liquid coating 622 can be as thin as 10 micro meters. In the present invention tanks 624 containing cleaning chemicals such as diluted HF, de-ionized water (DI-H2O), and the cleaning solution of the present invention are coupled to conduit 626 which feeds nozzle 614. In an embodiment of the present invention the diameter of conduit 626 has a reduced cross-sectional area or a “venturi” 628, that is shown in more detail in FIG. 6d, in a line before spray nozzle 614 at which point a gas from tank 630 that travels through conduit 640, such as H2, is dissolved in the cleaning solution 650 as it travels to nozzle 614. “Venturi” 628 enables a gas to be dissolved into a fluid flow 650 at gas pressure less than the pressure of the liquid flowing through conduit 626. The Venturi 628 creates under pressure locally because of the increase in flow rate at the Venturi. In an alternate embodiment gases are dissolved into the cleaning solution by a hydrophobic contactor device 700 as shown in FIG. 7a. This contactor device 700 is put into the conduit 626. Contactor device 700 has a hydrophobic membrane conduit 710 which allows gasses to pass through but not water. Gas 720 is fed into membrane conduit 710 where the gas dissolves into the liquid passing through the area 730.

Additionally, if desired, apparatus 600 can include a second nozzle (not shown) separate from nozzle 614 for blowing N2 gas and/or isopropyl alcohol (IPA) vapor onto the frontside of wafer 608 during rinsing and/or drying steps. An IPA vapor can be formed by passing N2 gas through a bubbler containing IPA. Such a process will typically produce a vapor of approximately 4% IPA in N2. Additionally, the distance which wafer 608 is held from plate 602 by wafer support 609 can be increased (by moving either support 609 or plate 602) to free the backside of the wafer 608 from liquid filled gap 618 to enable the wafer to be rotated at very high speed, such as during drying operations. Set forth below are four embodiments of the present invention in the front end of wafer processing where the use of the single wafer cleaning process is particularly useful. A first embodiment is when a hydrofluoric acid wash is used to strip the oxide surface of a wafer. A second embodiment is when it is desired to make the surface of the wafer hydrophobic. A third embodiment is after an O2 ashing. A fourth embodiment is when it is desired to remove all aluminum and/or iron contamination from the surface of the wafer. In each of these embodiments the entire cleaning process including rinsing and drying takes less than two minutes and the cleaning step where the cleaning solution is used takes less than 30 seconds. In each case, the wafer will typically include an outer silicon surface, such as but not limited to a monocrystalline silicon substrate, an epitaxial silicon film, and a polycrystalline silicon (polysilicon) film. A thin oxide film, such as a sacrificial oxide or a native oxide is typically formed on the outer silicon surface. It is to be appreciated, however, that the cleaning process of the present invention can be used to clean other types of wafers and substrates, such as but not limited to gallium arsinide (GaAs) wafers.

The first embodiment of the present invention where the use of the single wafer cleaning tool and process is particularly useful is a combination of using hydrofluoric acid (HF) to strip an oxide surface of a wafer and using the modified cleaning solution as described above to clean the wafer in less than two minutes. This application is illustrated by a flow-chart in FIG. 8. In the first step 800 the wafer is placed in the single wafer cleaning tool. A substrate or wafer requiring cleaning is clamped face up to wafer support 610. Next, the wafer is subjected to an HF step 810. During the HF step 810 the wafer is spun at a rate between 10-2000 rpm, and preferably 100-1000 rpm, as diluted HF is fed through nozzle 614 and sprayed onto the top surface of wafer 608 to form an HF solution cover 622 over the entire front side of wafer 608. The HF solution may have a concentration in the range of 5-1000 parts water to one part HF. The HF solution is comprised of preferably 50 parts DI water to one part HF. The HF that is diluted in the HF solution is typically purchased from the manufacturer as 49% w/w HF to water. The wafer is exposed to the HF solution for between 20-50 seconds, and preferably 30 seconds. The wafer is exposed to the HF solution for a time sufficient to etch either a sacrificial oxide (typically around 50-200 Å) or a native oxide (typically around 10 Å.) Simultaneously to feeding HF onto the top of the wafer, water or HF is fed through feed 616 to fill the gap between the backside of wafer 608 and plate 602 to clean the backside of the wafer. Other solutions can be used here on the backside of the wafer. Alternatively to HF (Hydrofluoric acid), BHF (Buffered Hydrofluoric acid) can be used. Additionally, if desired, a voltage can be applied to the transducers 604 to send megasonic waves through plate 602, through water filled gap 618, through wafer 608 and into coating 622 during the HF step.

After between 20-50 seconds the flow of HF is stopped and the wafer is exposed to a DI water rinse step as setforth in step 820. During the DI water rinse step 820 DI water is fed through nozzle 614 while wafer 608 is rotated at between 10-1000 rpm and transducers 604 are optionally active to rinse wafer 608. The rinse temperature is typically approximately between 19-23° C., and may be heated. During the water rinse step 820, the backside of wafer 608 can also be rinsed by flowing DI water into gap 618.

Prior to being fed through nozzle 614 the DI water rinse can be oxygenated or ozonated at point of use by dissolving O2 or O3 gas into the rinse water. This may be done with a venturi device as described above (FIG. 6d) or with a membrane device as described above (FIG. 7a). Dissolved oxygen (O2) or ozone (O3) is added to the rinse in a concentration of greater than 1 ppm to serve as an oxidant. Alternatively H2O2 may be added to the rinse in a concentration of greater than 100 ppm to serve as an oxidant. Whichever oxidant is used, it should have an oxidation potential sufficient to oxidize the most noble metal in the solution. Copper (Cu2+), with a standard reduction potential of 0.3V, is usually the most noble metal present. Therefore a standard reduction potential of greater than 0.5V is desired. O2 or O3 will solvate the metal ions and prevent precipitation by oxidizing the metal ions that are in solution. This will help decrease the processing time by making the rinsing more effective. The use of ozone or oxygen is also efficient and cost effective. In an embodiment of the present invention, the DI rinse water is degassed prior to dissolving O2 or O3 into the rinse water.

Ozonated water can be formed by dissolving ozone (O3) in degassed water or DI water. Ozone is generated at point-of-use from oxygen by passing oxygen through two discharge plates. One of the discharge plates is covered with an insulator and an alternating current is applied to the discharge plates. The alternating current creates small discharges between the plates that will form ozone from the oxygen passing through the plates. The preferred concentration of dissolved ozone is between 1 ppm and 200 ppm, and most preferably between 2 ppm and 20 ppm. Alternatively the rinse may be saturated with the gas. Oxygenated water is formed by dissolving oxygen or air into deoxygenated water or DI water. Additionally, although it is preferred to use ozonated or oxygenated DI water during the rinsing of a wafer in a single wafer process, one can also use ozonated or oxygenated DI water in an immersion rinse bath of a batch type tool if desired.

In an embodiment of the present invention, prior to being fed through nozzle 114 the rinse may also have CO2 dissolved into it to dissipate static electricity that builds up in the rinse water. Static electricity builds up in the rinse water because of the rotation of the wafer between 10-1000 rpm. Without dissolved CO2 deionized water is resistive, but with dissolved CO2 deionized water is conductive. CO2 also makes the rinse water more acidic and therefore reduces any metallic contamination. The CO2 can be dissolved into the rinse water with a contactor device 700 similar to that shown in FIG. 7a. Contactor device 700 includes a conduit or plurality of conduits 710 formed from a membrane stack 780 shown in FIG. 7b. CO2 gas 720 is fed into the conduit 710 formed of the membrane stack 780. The contactor device 700 also includes spaced-apart areas 730 between the conduit 710 through which DI water 650 flows. In this way, a large surface area is achieved with CO2 gas and DI water. The membrane stack 780 in contactor device 700 is a combination of a porous polymeric membranes 750 and a solid very thin flouropolymer sheet 740, such as a PFA sheet as shown in a cross sectional view in FIG. 7b. The thin solid membrane 740 prevents impurities in the CO2 gas from dissolving into the liquid. The thicker porous membrane 750 acts as a support for the thin membrane 740. The thicker porous membrane 750 has pores 760 on the order of 0.05 um. An example of a suitable contactor device 700, is the Infuzor made by Pall Corporation, Port Washington, N.Y. The polymeric membranes 740 and 750 are impermeable to liquids but permeable to gases. The membrane stack 780 is used to prevent any impurities in the CO2 from ending up in the rinse water. CO2 typically has organic impurities because it is a byproduct of the petroleum industry. The first membrane 740 is a very thin membrane that allows at least CO2 to diffuse through, but does not allow any organic impurities to diffuse through. In an embodiment of the current invention, shown in FIG. 7c, the DI rinse water 650 will flow along the thick membrane 750 and the CO2 gas 720 will flow along the thin membrane 740. The CO2 gas 795, minus any impurities, diffuses through the stacked membrane 780 and dissolves into the DI rinse water 650. In an embodiment of the present invention, CO2 is dissolved into DI water in an amount sufficient to dissipate static electricity. In an embodiment of the present invention, the amount of CO2 dissolved into the DI water is sufficient to decrease the resistivity of the DI water to less than 5 Megaohm.cm. The CO2 may also be dissolved into the rinse water using a venturi device as described above.

The rinse may also have isopropyl alcohol (IPA), or any other liquid with a surface tension lower than that of water, added to it. IPA aids by making the rinse spread out over the surface of the wafer so that the chemicals are removed more quickly. The IPA also helps the rinse spin off of the wafer during spinning. Alternatively, IPA vapor can be blown onto the wafer frontside by a second separate nozzle while rinsing to assist the rinse. The DI water rinse step is meant to remove the chemical from the etching and/or cleaning step and to replace these chemicals with pure DI water. The removal of chemicals from the wafer happens through a combination of convection and diffusion. Closer to the wafer surface, chemicals are removed by the rinsing DI water by diffusion only. The diffusion rate of chemicals close to the wafer surface is dependent upon the boundary layer thickness. The boundary layer thickness can be made small by spinning the wafer at high rotation rates. In an embodiment of the present invention, IPA vapor is directed at the wafer surface. This IPA vapor reduces the boundary layer and pushes the remaining chemicals and DI water away from the surface. This is an very efficient way of shortening the rinse. Additionally, if desired, megasonic energy can be applied while rinsing the wafer in step 820.

After a rinsing sufficient to remove all HF and to stop the etching of the oxide surface (usually between 10-50 seconds, and preferably about 20 seconds) the flow of DI water is stopped. The rinse step is efficient because the centrifugal force created by spinning the wafer helps to quickly remove the rinse.

Next, as set forth in step 830, the wafers are cleaned with the cleaning solution of the present invention. The cleaning solution of the present invention comprising ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O), a chelating agent such as those discussed above, and a surfactant such as those discussed above, is sprayed by nozzle 614 onto the top surface of wafer 608 in the chemical cleaning step 830. In an embodiment the temperature of the cleaning solution is between 40-85° C. At this time, the back-side of the wafer is also cleaned with the cleaning solution, or alternately with another solution, such as DI water. While the cleaning solution is sprayed onto the wafer 608, the wafer 608 is rotated at a rate between 10-200 rpm to form a thin coating 622 of cleaning solution over the top of wafer 608. Wafer 608 is exposed to the cleaning solution for between 30 and 60 seconds and preferably for a time less than 90 seconds. The transducers 604 produce acoustic waves while flowing the cleaning solution of the present invention onto wafer 608. The transducers 604 produce acoustic waves that travel through plate 602, through liquid filled gap 618, and through wafer 608 and into cleaning solution coating 622 on wafer 608 to enhance the cleaning of wafer 608. It is to be appreciated that the megasonic waves entering the water filled gap 618 also help to clean the backside of wafer 608.

In an embodiment of the current invention a combination of the cleaning solution and megasonics will allow for a dramatic particle and metal contaminant removal. In this embodiment the wafer is exposed to the cleaning solution for approximately 30 seconds and megasonics are applied to the wafer. Before the cleaning step the surface of the wafer can have greater than 1000 contaminant particles that are each larger than 0.1 μm in size. After the cleaning step in this embodiment, the surface of the wafer can have less than 50 contaminant particles that are each larger than 0.1 μm in size. In this embodiment the wafer surface can start out with greater than 1×1011 metallic atoms/cm2 before the cleaning step, and after the cleaning step the wafer surface can end with less than 1×1010 metallic atoms/cm2 (excluding aluminum atoms) on the surface of the wafer after the cleaning step.

In an embodiment of the cleaning process of the present invention H2 gas is dissolved into the cleaning solution while the cleaning solution is fed through conduit 126. H2 gas is dissolved into the cleaning solution to provide cavitation (bubble creation) to the cleaning solution coating 122 on the wafer 108. Providing cavitation to the cleaning solution of the present invention enhances the cleaning of the top surface of wafer 108. Although H2 is the preferred gas other suitable cavitation gases such as nitrogen (N2), helium (He), Argon (Ar) or oxygen (O2) can also be used. Dissolving a gas into the cleaning solution accelerates cleaning processes that utilize acoustic or sonic waves to enhance cleaning. Dissolving gas molecules into the cleaning solution makes acoustic enhancement more efficient by improving the cavitation behavior of the cleaning solution. In the present invention between 0.01 to 20 mg/l of H2 is dissolved into the cleaning solution, and most preferably about 0.1 to 5 mg/l of H2. Alternatively, between 1 and 20 mg/l of O2 can be dissolved into the cleaning solution.

After sufficient cleaning of wafer 608 in step 830 the flow of the cleaning solution of the present invention is stopped and the wafer rinsed once again with DI water as set forth in rinse step 840. O2 or O3 dissolved in the rinse is especially useful in guaranteeing that all chelating agents and surfactants are removed during this rinse step. O2 or O3, as well as H2O2, may be added to the rinse as described above to serve as an oxidant. CO2 and isopropyl alcohol (IPA) may also be dissolved in this rinse as described above. The backside of the wafer can also be rinsed at this time by flowing DiH2O into gap 618. The wafer is rinsed for around 20 seconds or more. The rinsing step after the cleaning solution is meant to remove all the chemicals from the wafer surface, i.e. ammonium hydroxide, hydrogen peroxide, the chelating agent, and surfactants.

Next, as set forth in step 850, the wafer is dried. The wafer is then dried by spinning at very high rotation speeds between 100-6000 rpm, preferably around 3000 rpm, for about 20 seconds and using the air flow around the wafer to dry the wafer. If desired, N2 and/or IPA vapor may be blown on the wafer to assist in drying the wafer. Typically, the rotation rate of the wafer during the drying step is greater than the rotation rate of the wafer during the rinse step. After drying, the wafer is then removed from the single wafer cleaning tool. The above described process of the present invention is able to complete a full cleaning cycle including HF etching, rinsing, chemical cleaning, rinsing, and drying in less than three minutes and preferably in less than two minutes as illustrated in FIG. 1b. The clean wafer can then undergo a high temperature thermal process step, typically greater than 400° C., such as but not limited to a gate oxidation step, a chemical vapor deposition (CVD) step, or an anneal step.

The second embodiment of the current invention, shown in FIG. 9 in flow chart form, is a process which can be used to make the surface of the wafer hydrophobic. Instead of performing the HF step and then the chemical cleaning step, as set forth in FIG. 8, this process places the cleaning step before the HF step. Performing the HF step after the cleaning step leaves the surface of the wafer hydrophobic at the end of the cleaning process. All of the same details as described above with respect to FIG. 8 apply to the respective steps in the current process. The wafer is first placed in the single wafer cleaning tool by clamping the wafer face up to wafer support 610 (step 900.) The wafer is then spun as described above. The cleaning solution of the present invention, that optionally contains a cavitation gas, is then fed through nozzle 614 onto the top surface of wafer 608 as set forth in chemical cleaning step 910. Next, the wafer is rinsed in step 920 with a rinse that optionally contains an oxidant and/or CO2 as described above. Megasonics may also be applied during the rinse. Once rinsed, a HF solution is dispensed onto the wafer in HF step 930. This solution will strip the silicon dioxide layer 1000 (FIG. 10a) from the pure silicon surface 1010. After the HF step 930, the pure silicon surface looks like FIG. 10b. The silicon surface 1010 in FIG. 10b is hydrophobic because of the hydrogen and fluorine termination groups. After the HF step 930 there is an optional rinse step 940. A hydrophobic surface, e.g., is useful when either a cobalt sputter or gate oxidation is done after the cleaning process. After the last wet step (either the HF step 930 or the rinse step 940) the wafer is dried, in drying step 950, in a manner set forth above. The wafer is then removed from the single wafer cleaning tool and processed in another single wafer tool, such as, for example, a cobalt deposition chamber or a gate oxidation chamber.

A third embodiment of the present invention, as shown in the flow chart in FIG. 11, is the use of the single wafer cleaning tool after an O2 ashing of the wafer to remove a photoresist. Most O2 plasma ashing steps are carried out in a single wafer mode and it is therefore very useful to have a single wafer cleaning method instead of a batch cleaning method after a O2 plasma ashing step. All of the same details as described above apply to the respective steps in the current process. The most significant difference in this process compared to the others disclosed in the present invention is that there is no HF step. There is no HF step is because the oxide surface on the wafer is needed in subsequent processing. In this application, after the O2 ashing step 1100, a wafer having an outer oxide film on a silicon surface is placed in the single wafer cleaning tool face up as described above and the wafer is spun. Next, as set forth in chemical cleaning step 1110, the wafer is cleaned with the cleaning solution of the present invention as described above. Megasonic can be applied to the wafer to aid in the cleaning of the wafer. Before dispensation, the cleaning solution may optionally have a cavitation gas dissolved into it. The cleaning solution is then dispensed onto the wafer as the wafer is rotated in step 1110. The cleaning solution removes the ash residue left by the ashing step and removes most metals and chlorine from the surface of the wafer that the ashing does not remove. In this embodiment the cleaning step may exceed 30 seconds. With the elimination of the HF step the cleaning step 1110 may be longer and the entire cleaning process may still be done within two minutes. After the cleaning step, the wafer is rinsed in step 1120 with a rinse solution optionally containing an oxidant and/or CO2 as described above. Next, the wafer is dried in step 1130 by spinning at high speeds as described above. After this cleaning process, where an oxide layer is left on the surface of the wafer, any application where the silicon of the wafer needs to be protected, such as ion implantation, is suitable.

In the fourth embodiment of the present invention the single wafer cleaning tool is used to remove all aluminum and iron contamination from the surface of the wafer. An embodiment of this invention is shown in the flow charts of FIG. 12. This embodiment uses a very short HF step that etches away only about 0.5-5 Å of a thermal oxide on the wafer silicon surface. This quick etching in combination with the cleaning step will quickly remove all aluminum and iron contamination, as well as any other contaminants, from the surface of the wafer within approximately 30-40 seconds. Without the short HF step, the cleaning solution alone would take approximately 10 minutes to remove all of the aluminum and iron. The wafer can be contaminated with around 2×1011 atoms/cm2 of aluminum ions after being in an ion implanter or in an etching chamber. The present cleaning application will reduce that concentration of aluminum and iron atoms to around 1-5×1010 atoms/cm2. In the embodiment shown in FIG. 12, the short HF step 1230 is immediately before the cleaning step 1240 and there is no rinse between the HF step 1230 and the cleaning step 1240.

In this embodiment to remove all aluminum contamination from the surface of wafer, the wafer is first placed in a single wafer cleaning tool in step 1200 after being contaminated with aluminum and iron in, for example, either an ion implant chamber or an etching chamber. At this point, as shown in FIG. 13a, there is a thin oxide layer 1300 on the surface of the silicon wafer 1310. Once loaded into the single wafer cleaning tool, the wafer is spun and optionally rinsed. As set forth in step 1210, the optional rinse may optionally contain an oxidant and/or CO2 as discussed above. Megasonics may also be applied during this optional rinse. If no initial rinse is used, then the wafer after being loaded into the single wafer machine is spun and HF is dispensed on the spinning wafer for less than five seconds and preferably for 2-3 seconds as set forth in step 1230. If an initial rinse is used, HF is dispensed for 2-3 seconds on top of the rinse water on top of the spinning wafer. The cleaning solution of the present invention is then immediately dispensed on top of the HF solution on top of the wafer in chemical cleaning step 1240 to produce an HF covered wafer. The cleaning solution consists of a mixture of ammonium hydroxide, hydrogen peroxide in water with a chelating agent added. Additionally, a surfactant may be added as discussed above. The cleaning solution quickly neutralizes the HF solution and stops the etching. Because the cleaning step quickly neutralizes the HF solution, the oxide film 1300 is only slightly etched, and an oxide 1320, as shown in FIG. 13b, which is only 0.05-5 Å thinner remains after the cleaning step in step 1240. Because of the quick neutralization and the elimination of a rinse step, an HF step followed immediately by a cleaning step increases the efficiency of the HF etch quenching. A cavitation gas may optionally be dissolved into the cleaning solution before it is dispensed on the wafer. After the cleaning step 1240 the wafer is rinsed in step 1250 as described above. After the wafer is sufficiently rinsed it is dried in drying step 1260 by spinning the wafer at high speed as set forth above. The wafer is then removed from the chamber and thermally processed in a single wafer furnace. When using a single wafer furnace, it is very useful to have a single wafer cleaning method instead of a batch cleaning method. The thermal processes are typically performed at temperatures exceeding 400° C. The thermal process may be an anneal, a chemical vapor deposition (CVD), or an oxidation. All metals must be removed from the surface of the wafer before any thermal processing steps because the metals will become embedded in the wafer during the thermal processing.

It is to be appreciated that although the cleaning process of the present invention is ideally carried out in an apparatus 600 as shown in FIG. 6a the cleaning process of the present invention can utilize other cleaning apparatuses. For example, the acoustic energy need not necessarily be applied from the bottom of the wafer but can also be applied to the front side. Additionally, the acoustic devices need not necessarily cover the entire surface area of the wafer 600 but may only cover a portion if desired. Still, the acoustic energy can be applied directly to nozzle 614 so that the droplets contained by nozzle 614 contain acoustic waves. In fact, although preferred acoustic energy is not required during cleaning. Similarly, the cleaning solution of the present invention need not necessarily be sprayed onto top surface of the wafer but can also be dispensed onto the wafer by a constant stream of liquid. Furthermore, the cleaning solution can also be supplied at the same time onto the front of the wafer and the backside of the wafer as well as onto the edge. Although ideally situated for a single wafer process, a solution comprising NH4OH, H2O2, H2O, a chelating agent, and a surfactant, according to the present invention can also be used in an immersion bath for a batch type cleaning process and provide improved cleaning. It is to be appreciated that the disclosed specific embodiments of the present invention are only illustrative of the present invention and one of ordinary skill in the art will appreciate the ability to substitute features or to eliminate disclosed features. As such, the scope of applicant's cleaning solution and cleaning methodology are to be measured by the appended claims that follow.

Thus, a novel cleaning method and solution for use in a single wafer cleaning process have been described.

Claims

1. A method of rinsing a wafer comprising:

placing a wafer in a single wafer cleaning apparatus;
spinning said wafer; and
rinsing said wafer with a solution comprising H2O and CO2.

2. The method of claim 1 further comprising dissolving CO2 into said H2O by passing said H2O along a hydrophobic membrane that allows gases through but not said H2O before rinsing said wafer.

Patent History
Publication number: 20060260647
Type: Application
Filed: Jul 31, 2006
Publication Date: Nov 23, 2006
Inventors: Steven Verhaverbeke (San Francisco, CA), Kelly Truman (Morgan Hill, CA)
Application Number: 11/496,827
Classifications
Current U.S. Class: 134/2.000; 134/26.000; 134/33.000
International Classification: C23G 1/00 (20060101); B08B 3/00 (20060101); B08B 7/00 (20060101);