Planarization composition

The present invention provides CMP abrasive slurry that is substantially free of aluminum oxide and comprises liquid and solids wherein the solids comprises: (a) in an amount of at least about 90 weight percent based on the solids, at least one non-spherical component having formula Al2O3.xH2O where x ranges from 1 to 3; and (b) up to about one weight percent based on the solids portion of submicron alpha-alumina. The CMP abrasive slurry may be used to polish metallic or dielectric surfaces in computer wafers.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

The present invention relates to a novel slurry for chemical-mechanical planarization (CMP). The present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with high production throughput.

In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and now electrochemical plating (ECP).

As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or “planarization” a surface, is a process where material is removed from the surface of the substrate to form a generally even planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing.

Chemical mechanical planarization, or chemical mechanical planarization (CMP), is a common technique used to planarize substrates. CMP utilizes a chemical composition, typically a slurry or other fluid medium, four selective removal of material from substrates. Considerations in CMP slurry design are discussed in Rajiv K. Singh et al., “Fundamentals of Slurry Design for CMP of Metal and Dielectrics Materials”, MRS Bulletin, pages 752-760 (October 2002). In conventional CMP techniques, a substrate carrier or planarization head is mounted on a carrier assembly and positioned in contact with a planarization pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the planarization pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects planarization or rubbing movement between the surface of the substrate and the planarization pad while dispersing a planarization composition, or slurry, to effect both chemical activity and mechanical activity.

Conventional slurries used for CMP processes contain abrasive particles in a reactive solution. Alternatively, the abrasive article can be a fixed abrasive article, such as a fixed abrasive planarization pad, which may be used with a CMP composition or slurry that does not contain abrasive particles. A fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto.

Abrasives which are most extensively used in the semiconductor CMP process are silica (SiO2), alumina (Al2O3), ceria (CeO2), zirconia (ZrO2), and titania (TiO2), which can be produced by a fuming or a sol-gel method, as described in U.S. Pat. Nos. 4,959,113; 5,354,490; and 5,516,346 and WO 97/40,030. There has recently been reported a composition or a slurry comprising mangania (Mn2O3) (European Pat. No. 816,457) or a silicon nitride (SiN) (European Pat. No. 786,504).

U.S. Pat. No. 6,508,952 discloses a CMP slurry containing any commercially available abrasive agent in particle form, such as SiO2, Al2O3, ZrO2, CeO2, SiC, Fe2O3, TiO2, Si3N4, or a mixture thereof. These abrasive particles normally have a high purity, a high surface area, and a narrow particle size distribution, and thus are suitable for use in abrasive compositions as abrasive agents.

U.S. Pat. No. 4,549,374 discloses planarization semiconductor wafers with an abrasive slurry prepared by dispersing montmorillonite clay in deionized water. The pH of the slurry is adjusted by adding alkali such as NaOH and KOH.

Demands for electrical processing speed have continued to increase requiring higher and higher circuit densities and performance. It is now desirable to fabricate chips with 8 or more layers of circuit patterns. In principal the requirement for more layers does not change the nature of planarization, but it does require more rigorous specifications from the planarization method. Defects such as scratches and dishing must be lessened or eliminated. An issue that further increases the technical demand is the move toward 300 mm wafers. The larger wafer makes it more difficult to maintain uniformity over larger length scales as compared to an 8″, or 200 mm, wafer.

Besides adding layers, increased circuit density can be achieved by decreasing the space between the individual pathways. Pathways cannot be too close as electrical spillover can occur across the SiO2 dielectric (the wafer oxide) effectively shorting out the connection. Recent technological advancements permitting the fabrication of very small, high density circuit patterns on integrated circuits have placed higher demands on isolation structures.

US Patent Application Publication 2003/0129838 (filed Dec. 28, 1999) discloses the following non-plate-like abrasive materials: iron oxide, strontium titanate, apatite, dioptase, iron, brass, fluorite, hydrated iron oxide, and azurite.

U.S. Pat. No. 5,693,239 teaches a CMP planarization composition comprising water; 1-50 weight percent alpha-alumina or alpha-aluminum oxide; the remainder of the solids being of a substantially less abrasive composition chosen from the group consisting of aluminum hydroxides, gamma-alumina, delta-alumina, amorphous alumina, and amorphous silica. See also U.S. Pat. Nos. 4,956,015; 6,037,260; and 6,475,607. However, we believe that the presence of aluminum oxide at even <5 weight percent in the solids portion of a CMP slurry may scratch the metal surface of the wafer.

Japanese Kokai Patent Publication 2000-246649 teaches a planarization pad containing 5-50% by weight of boehmite abrasive particles. The reference teaches that if the beohmite weight percent exceeds 50, the pad's cushioning property drops. The slurry used with the planarization pad contained 1-15 weight percent of fine particles such as boehmite. See also Japanese Kokai Patent Publication 2000-246620.

U.S. Pat. No. 5,906,949 teaches a CMP slurry containing abrasive particles mainly made of boehmite for planarizing dielectric films such as SiO2 under pH basic conditions. We believe that this patent's Example 3 results in a boehmite surface coated alumina.

U.S. Pat. No. 6,562,091 teaches that a spherical shaped boehmite did not scratch a wafer during CMP processing; the spherical particles preferably had a diameter of less than approximately 50 nm. This was in contrast to the prior art teaching that an angulated silica particle may scratch a wafer surface during CMP processing.

SUMMARY OF THE INVENTION

The present invention provides CMP abrasive slurry that is substantially free of anhydrous aluminum oxide (generic formula Al2O3) and comprises liquid portion and solids portion wherein said solids portion comprising:

(a) in an amount of at least about 90 weight percent based on said solids portion, at least one non-spherical component having formula Al2O3.xH2O where x ranges from 1 to 3; and

(b) up to about one weight percent based on the said solids portion of submicron alpha-alumina.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a TEM of one embodiment of the present invention.

FIG. 2 illustrates one embodiment of the present invention.

FIGS. 3-7 are thermograms (TGA/DTA or TGA/DSC) for boehmites useful in the present invention.

DETAILED DESCRIPTION OF THE INVENTION

The present invention uses a component having the formula Al2O3.xH2O where x ranges from 1 to 3. When x is 1 in the preceding formula, the resulting product is known as diaspore and has a Mohs' hardness of about 6.5-7. When x ranges from greater than 1 to 2, i.e., 1.1, 1.2, 1.3, 1.4, 1.5, 1.6, 1.7, 1.8, 1.9, or 2, the resulting products are known as boehmite or pseudoboehmite and have a Mohs' hardness of about 2.5-3. When x is 3 in the preceding formula, the resulting products are known as gibbsite, doyleite, nordstrandite (all with Mohs' hardness of about 2.5-3), or bayerite, Preferably, the component is boehmite or pseudoboemite.

Examples of the phrase “at least one non-spherical component having the formula Al2O3.xH2O” as used herein includes but is not limited to the following mixtures of phases: Al2O3.1.2H2O and Al2O3.1.6H2O, Al2O3.1.2H2O and Al2O3.2H2O, and Al2O3.1.6H2O and Al2O3.2H2O, and Al2O3.1.5H2O and Al2O3.3H2O. One useful commercially available mixture is about 80 weight percent boehmite and 20 weight percent gibbsite.

The value of x in the above formula Al2O3.xH2O may be conveniently determined by commercially available thermal analysis instruments (e.g., TGA, TGA/DTA, TGA/DSC). In FIGS. 3-6, the sample in powdered form, without any special pre-treatment (drying or humidification), was heated from room temperature to about 1200° C. at a rate of 20° C./min in 100 mL/min flow of dry air. In FIG. 7, a sol sample was left to dry in the fume hood for approximately two days, and then heated as described above. It is apparent that in certain instances the x, as determined by these common thermal analysis techniques, may be more than 2 or less than 1 for boehmite or pseudobeohmite, and may be greater or smaller than 3 for gibbsite, doyleite, nordstrandite or bayerite. Other useful technique to identify these alumina hydrate phases is powder X-ray diffraction (XRD).

The boehmite is usually produced by a method wherein gibbsite or the like is subjected to hydrothermal treatment under pressure at a temperature of about 250° C. or by a method wherein an organoaluminum compound of the formula Al(OR)3 wherein R is an alkyl group is hydrolyzed.

The term “non-spherical” as used herein means particles having a morphology wherein at least one dimension (height, length and/or width) is substantially larger than another. Thus, a non-spherical particle morphology may be plate-like, sheet-like, needle-like, capsule-like, laminar-like, or any other of a myriad of shapes having at least one dimension substantially larger than another. Such morphology distinguishes over spherical particles which are substantially round in appearance and do not have noticeable elongated surfaces as disclosed in U.S. Pat. No. 6,562,091.

The advantages of the present non-spherical particle over the spherical particle of U.S. Pat. No. 6,562,091 are as follows. First, for a given loading of abrasive solids in a slurry, non-spherical particles provide much larger effective contact, i.e., planarization surface. This results in higher material removal rates. The rationale for this is that a substantially spherical particle has in the extreme a point contact with the surface to be polished. In sharp contrast, the present non-spherical particle expected to be positioned flat during the planarization process advantageously is in contact with the polished surface through the largest face. Also, since the applied pressure from the polisher will be transferred onto the wafer through the surface rather than through the point, the polish uniformity and overall planarity are expected to be improved. Such improvements include reduced erosion, dishing, and field oxide loss. Secondly, the greater planarization area of the non-spherical particle allows the use of lower abrasive content in the slurry. This provides a positive effect on particle related defects such as scratch and particle residue. Thirdly, the non-spherical particle will positively contribute to non-Prestonian behavior of the fully formulated slurry, i.e., that the slurry will not show a linear increase of the planarization rate with applied pressure. This may be significant for low pressure planarization such as less than 2-3 psi and also for planarization of next generation copper and low or ultra low k dielectric devices with planarization pressures as low as less than one psi.

FIGS. 3-7 show examples of thermal analysis-thermogravimetric analysis (TGA) and differential thermal analysis (DTA) or differential scanning calorimetry (DSC) charts of possible alumina hydrate abrasives useful in the present invention. They were obtained using a TA instruments SDT Q600 analyzer by heating the sample from room temperature to 1 200° C. at a heating rate of 20° C./min in 100 ml/min flow of dry air. The results show in FIGS. 3-7 that a distinct three step weight loss (TGA curve—left Y axis) with correspondence endothermic peaks as shown by DTA or DSC curve (right Y axis) associated with the water loss. The first weight loss varies from about 1 to 25 weight percent and is typically associated with a DTA/DSC peak between about 60° C. and 1 20° C. The second weight loss is more consistent ranging from about 12 to 16 weight percent with associated very sharp DTA/DSC peaks in the range 460° C. to 515° C. The third weight loss, in all cases less than 2 percent, is a very gradual one, taking place at temperatures above 600° C., with a very broad endotherm in the range of 740° C. to about 905° C. While the overall weight loss at 1 200° C. observed in FIGS. 3-6 is consistent with x in the above formula Al2O3.xH2O in the range of 1-2, FIG. 7 shows an overall weight loss of 38.5 percent corresponding to x>3. This shows that the value of x as determined by routine thermal analysis may vary significantly for similar samples and is sensitive to sample treatment prior to the measurement.

Unlike the boehmite surface coating of U.S. Pat. No. 5,906,949's Example 3, the present non-spherical particles comprises boehmite substantially throughout the core and surface of the particle.

Useful boehmite is commercially available from Sasol. Examples of useful DISPERAL® acid dispersible boehmite alumina systems are in the following Table 1:

TABLE 1 TYPICAL CHEMICAL AND PHYSICAL PROPERTY DISPERAL DISPERAL S DISPERAL HP 14 DISPERAL 40 Al2O3 (%) 77 75 77 80 Na2O (%) 0.002 0.002 0.002 0.002 Particle Size 25 15 35 50 (d50)(microns) Crystallite Size 10 10 14 40 [120](nanometers) Dispersed Particle 80 100 100 140 Size (nanometers)

Examples of useful DISPERAL® and DISPAL® liquid boehmite alumina systems are in the following Table 2

TABLE 2 TYPICAL CHEMICAL DISPERAL AND PHYSICAL Dispersion DISPERAL DISPAL DISPAL DISPAL DISPAL PROPERTY 20/30 AL 25 11N7-12 14N4-25 18N4-20 23N4-20 Al2O3 (%) 30 25 12 25 20 20 NO3 (%) 0.006 0.015 0.240 0.300 0.380 NH3 (%) 2 pH of dispersion 4 10 7 4 4 4 Dispersed 200 200 180 140 120 100 Particle Size (nanometers)

Examples of useful DISPERAL® and DISPAL® water dispersible boehmite alumina systems are in the following Table 3:

TABLE 3 TYPICAL CHEMICAL AND PHYSICAL DISPERAL DISPERAL DISPAL DISPAL DISPAL DISPAL PROPERTY P2 HP 14/2 11N7-80 14N4-80 18N4-80 23N4-80 Al2O3 (%) 72 75 80 80 80 80 Na2O (%) 0.002 0.002 0.002 0.002 0.002 0.002 NO3 (%) 4.0 1.3 0.1 0.7 1.1 1.6 Particle Size 45 35 40 50 50 50 (d50) (microns) Crystallite Size 13 35 25 15 10 [120] (nanometers) Dispersed 25 100 160 120 110 90 Particle Size (nanometers)

Useful boehmite is also commercially available from Sasol as CATAPAL™. CATAPAL A, B, C1 or D is spray dried alumina with increasing crystallite sizes from 40 Angstroms to 70 Angstroms. CATAPAL 200 has a 400 Angstroms crystallite size. FIG. 1 shows a TEM of a Sasol boehmite.

Another embodiment of the present invention is shown in FIG. 2. In FIG. 2, non-spherical abrasive particle 10 comprises core 12 that is at least partially coated with aluminum hydroxide layer 14. Useful core material 12 includes those disclosed in our pending patent application U.S. Ser. No. 10/792,738 filed Mar. 5, 2004 incorporated herein by reference in its entirety. Laminar clays such as kaolin, vermiculite and montmorillonite (that can be exfoliated) and modifications of such clays that preserve the clay shape such as acid leached kaolin, mica, talc, graphite flake, glass flake, and synthetic polymer flake are useful.

These non-spherical particles are primary in the slurry. Thus, the phrase “non-spherical particle” as used herein does not cover a non-spherical agglomeration of spherical particles.

In addition to having a non-spherical morphology, the present abrasive particles are preferably softer than the silica, alumina or ceria abrasives typically used for CMP. Accordingly, the non-spherical abrasive particles have a Mohs hardness of about 1-5 to 6. For reference, Table 4 below sets forth the various metals and abrasive particles:

TABLE 4 MICROHARDNESS MATERIALS MOHS [kg mm−2] Copper 2.5-3.0 80 Tantalum 6.5 230 Tungsten 7.5-8.0 350 Hydrated SiO2 4-5 400-500 SiO2 6-7 1200 Copper Oxide 3.5-4.0 Kaolin (hydrous) 2-3 Kaolin (calcined) 4.0-6.0 alpha-Alumina 9.0 2000 ZrO2 6.5 Diamond 10.0 10000

It is believed that a non-spherical abrasive having a Mohs hardness between about 1-6 is hard enough to provide the necessary mechanical action of a CMP slurry, yet defects such as scratching, dishing, and over planarization action can simultaneously be avoided.

In general, the non-spherical particle abrasive will comprise up to 20 by weight percent of the slurry although abrasive solids contents up to 60 wt. % may be prepared. More typically, amounts of less than 15% by weight and more preferably, an abrasive content in amounts of from 0.5-8 wt. % are utilized.

Kaolin clay particles are preferred for core material 12. While hydrous kaolin can be utilized, it has been found that if the kaolin has been calcined, a better planarization rate results. However, the overall performance of hydrous kaolin is better than calcined kaolin and thus, hydrous kaolin is preferred. Calcination of the kaolin to undergo a strong endothermic reaction associated with dehydroxylation results in metakaolin. Kaolin clay calcined under conditions more severe than those used to convert kaolin to metakaolin, i.e., kaolin clay calcined to undergo the characteristic kaolin exothermic reaction, results in the spinel form of calcined kaolin and also mullite if more extreme conditions are utilized. Generally, calcination of the hydrous kaolin at temperatures of 1200° F. and higher results in the dehydroxylation of hydrous kaolin to metakaolin. Calcination temperatures of 1400-2200° F. can be used to produce a kaolin clay that has been calcined through its characteristic exotherm to spinel form kaolin. At the higher temperatures, e.g. above 1900° F., formation of mullite occurs. Any and all of these forms of kaolin clay can be utilized as the abrasive of this invention. All of these materials are available commercially from the present assignee, Engelhard Corporation, Iselin, N.J.

Hydrous kaolin is typically prepared through combination of unit operations that modify the particle size distribution and remove coloring impurities from kaolin. These unit operations are facilitated by using aqueous suspensions of kaolin in water. Examples of unit operations that change the particle size distribution are centrifuges, delamination or milling devices and selective flocculation. Examples of unit operations that result in removal of coloring impurities are flotation and magnetic separation. Further, reductive and/or oxidative bleaching can be used to render coloring impurities colorless. In addition, filtration may be utilized to substantially remove water from kaolin following which the high solids filtration product slurry can be spray dried. The spray dried portion can be added back to the high solids filter product slurry to further raise the solids content of the slurry. The filtration product may not be dispersed and thus the filtercake can be dried and pulverized to obtain what is referred to as acid dried kaolin product in the industry. Additionally, the kaolin may be modified by thermal or chemical treatments. Typically, the kaolin is pulverized prior to and after the calcinations operation. Treated kaolin can be slurried to further effect modifications to the particle size distribution through the unit operations mentioned above.

Other useful non-spherical abrasive particles for core material 12 are brucite (magnesium hydroxide), hydrotalcite, and nanotalc. The preceding materials are commercially available. Other useful non-spherical abrasive particles are disclosed in commonly assigned U.S. Pat. No. 6,187,710 incorporated herein by reference in its entirety. This patent teaches in one embodiment clay minerals made up of elementary three-layer platelets consisting of a central layer of octahedrally oxygen-surrounded metal ions (octahedron layer), which layer is surrounded by two tetrahedrally surrounded, silicon atom-containing layers (tetrahedron layer), characterized in that the dimensions of the clay particles vary from 0.1 micron to one micron. In the octahedron layer, at most 30 at. % of the metal ions has been replaced by ions of a lower valency and in the tetrahedron layers, at most 15 at. % of the silicon ions has been replaced by ions of a lower valency. The patent teaches in another embodiment that the silicon (germanium) in the tetrahedron layer can be replaced by trivalent ions. In the octahedron layer, aluminum, chromium, iron (III), cobalt (III), manganese (III), gallium, vanadium, molybdenum, tungsten, indium, rhodium, and/or scandium are preferably present as trivalent ions. As divalent ions, magnesium, zinc, nickel, cobalt (II), iron (II), manganese (II), and/or beryllium are preferably present in the octahedron layer. In the tetrahedron layer, silicon and/or germanium is present as tetravalent component and preferably, aluminum, boron, gallium, chromium, iron (III), cobalt (III), and/or manganese (III) are present as trivalent component.

The aluminum hydroxide layer material 14 may be as described above. The partial aluminum hydroxide coating generally has a thickness of about up to 0.5 micron. Any known coating process may be used for coating the aluminum hydroxide 14 onto core material 12.

In general, CMP slurry compositions include abrasives for mechanical action and at least one of: oxidizers, acids, bases, complexing agents, surfactants, dispersants, and other chemicals for providing a chemical reaction such as oxidation on the surface to be polished.

Non-limiting examples of available bases include KOH, NH4OH, and R4NOH. Acids also can be added, which can be exemplified by H3PO4, CH3COOH, HCl, HF and so on. Available as such supplementary oxidizing agents are H2O2, KIO3, HNO3, H3PO4, K2Fe(CN)6, Na2Cr2O7, KOCl, Fe(NO3)2, NH2OH, and DMSO. Divalent acids, such as oxalic acid, malonic acid, and succinic acid can be used as additives for the planarization composition of the present invention.

Additional suitable acid compounds that may be added to the slurry composition include, for example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, oxtanoic acid, nonanoic acid, lactic acid, nitric acid, sulfuric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof.

Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolylytriazole, 1-(2,3-dicarboxypropyl) benzotriazole, and mixtures thereof.

Carboxylic acids, if added, may also impart corrosion inhibition properties to the slurry composition.

To increase the selectivity of tantalum and tantalum compounds relative to silicon dioxide, fluorine-containing compounds may be added to the slurry composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof.

Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylklenetriaminepentacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof. The chelating agents may aid in the softening of the metallic surface or even help to protect low lying features or surfaces of particular composition. The idea of protection mechanisms may lead to significant improvements.

Suitable amines that may be added to the slurry composition include, for example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, and mixtures thereof.

Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic, or amphoteric surfactants known to those skilled in the art.

The pH of the slurry is vital to the performance of all slurry components. The acidity level of a solution can control reaction rates at the surface, formation constants of metal complexing agents, rates of surface oxidation, solution ionic strength, aggregation size of slurry particles, and more. Examination of various acids, bases, and pH buffers are a prospective area for CMP development.

A boehmite slurry may be conveniently prepared by dispersing a boehmite abrasive in water, and adjusting the pH, if needed, by adding acid or a base. This mixture is then agitated for a period of time to ensure desired solids dispersion and form a particle slurry. To this particle slurry, active CMP slurry components such as oxidizer or other complexing agent, chelating agent, passivating agent and surfactant are added. Other active components may be also added on as needed basis to ensure optimal performance of the fully formulated CMP slurry. The pH of the final slurry then may be adjusted by adding acid or base.

Removing excess metal or other contamination from smaller and smaller spaces between individual pathways presents ever increasing challenges for CMP processing. Copper metal has a smaller intrinsic resistance and capacitance than Cu/Al alloy, which is currently used as the conducting medium. Therefore, a smaller electrical potential is required to send a signal through a copper line, reducing the tendency for electrical spillover. In effect, by using Cu-only, the circuit pathways can be placed closer together.

However, the use of Cu also has disadvantages. Copper does not adhere well to oxide surfaces. Copper is also susceptible to bulk oxidation as, unlike WO3 or Al2O3, a CuO or CuO2 surface layer still allows O2 and H2O to penetrate into the bulk metal. Moreover, Cu atoms are mobile and can migrate into the SiO2 wafer material ultimately causing the transistors in the circuit to fail. Therefore, a thin layer of low dielectric material, typically composed of tantalum, tantalum nitride, or titanium nitride, is placed between the wafer oxide and conducting Cu layers. The buffer layer promotes Cu adhesion, prevents oxidation of the bulk Cu metal, prevents Cu ion contamination of the bulk oxide, and further lowers the dielectric between the circuits (i.e. allows the circuits to be even more closely spaced).

One of the uses of CMP technology is in the manufacture of shallow trench isolation (STI) structures in integrated circuits formed on semiconductor chips or wafers such as silicon. The purpose of an STI structure is to isolate discrete device elements (e.g., transistors) in a given pattern layer to prevent current leakage from occurring between them.

An STI structure is usually formed by thermally growing an oxide layer on a silicon substrate and then depositing a silicon nitride layer on the thermally grown oxide layer. After deposition of the silicon nitride layer, a shallow trench is formed through the silicon nitride layer and the thermally grown oxide layer and partially through the silicon substrate using, for example, any of the well-known photolithography mask and etching processes. A layer of a dielectric material such as silicon dioxide is then typically deposited using a chemical vapor deposition process to completely fill the trench and cover the silicon nitride layer. Next, a CMP process is used to remove that portion of the silicon dioxide layer covering the silicon nitride layer and to planarize the entire surface of the article. The silicon nitride layer is intended to function as a planarization stop that protects the underlying thermally grown oxide layer and silicon substrate from being exposed during CMP processing. In some applications, the silicon nitride layer is later removed by, for example, dipping the article in an HF acid solution, leaving only the silicon dioxide filled trench to serve as an STI structure. Additional processing is usually then performed to form polysilicon gate structures.

The use of Cu and accompanying low dielectric buffer layer demand enhanced performance from planarization techniques. The new techniques are called Cu-CMP but in principle do not differ significantly from previous planarization methods. The CMP process must be able to remove the soft Cu metal overburden, yet limit Cu dishing, scratching, and removal of the low dielectric buffer layer. Simultaneously, tolerances are more rigorous because of more closely spaced circuit patterns. The ability to produce layers that are thin, flat, and defect free is of paramount importance.

As is also known in the art, one method for forming interconnects in a semiconductor structure is a so-called dual damascene process. A dual damascene process starts with the deposition of a dielectric layer, typically an oxide layer, disposed over circuitry formed in a single crystal body, for example silicon. The oxide layer is etched to form a trench having a pattern corresponding to a pattern of vias and wires for interconnection of elements of the circuitry. Vias are openings in the oxide through which different layers of the structure are electrically interconnected, and the pattern of the wires is defined by trenches in the oxide. Then, metal is deposited to fill the openings in the oxide layer. Subsequently, excess metal is removed by planarization. The process is repeated as many times as necessary to form the required interconnections. Thus, a dual damascene structure has a trench in an upper portion of a dielectric layer and a via terminating at the bottom of the trench and passing through a lower portion of the dielectric layer. The structure has a step between the bottom of the trench and a sidewall of the via at the bottom of the trench.

The abrasive particles of the current invention can be used in CMP of copper in applications other than logic (such as microprocessors) or memory (such as flash memory) devices where copper is used in the interconnect metallic layers. For example, improving the thermal and electrical characteristics of the packaging of the device may involve use of a copper layer that needs to be planarized. The structure of the interconnect copper layer in the integrated circuit device and the copper layer in packaging may be different leading to different requirements on thickness of layer to be removed, planarity, dishing and defectivity. Also Micro-ElectroMechanical Systems (MEMS) may have a copper layer that may require planarization using CMP. Abrasive particles of the current invention can be used in CMP slurries for this application also.

A review of CMP processing is provided in “Advances in Chemical-Mechanical Planarization,” Rajiv K. Singh and Rajiv Bajaj, MRS Bulletin, October 2002, pages 743-747. In general, while the CMP process appears quite simple, achieving a detailed understanding has been limited primarily by the large number of input variables in the planarization process. Among such variables are slurry variables such as particles and chemicals, pad variables, tool variables such as down pressure and linear velocity, and substrate variables such as pattern density. The article provides a good review of the process variables and emerging applications for CMP technology and is herein incorporated by reference.

Claims

1. CMP abrasive slurry that is substantially free of anhydrous aluminum oxide and comprises liquid and solids wherein said solids comprises:

(a) in an amount of at least about 90 weight percent based on said solids, at least one non-spherical component having formula Al2O3.xH2O where x ranges from 1 to 3; and
(b) up to about one weight percent based on said solids portion of submicron alpha-alumina.

2. The CMP abrasive slurry of claim 1 consisting essentially of said at least one non-spherical component having formula Al2O3.xH2O where x ranges from 1 to 3.

3. The CMP abrasive slurry of claim 1 wherein said non-spherical component is boehmite.

4. The CMP abrasive slurry of claim 1 wherein said non-spherical component comprises kaolin coated with boehmite.

5. A method of planarization metal comprising the step of:

using the CMP abrasive slurry of claim 1 to polish metal.

6. The method of claim 5 wherein planarization occurs in pH acidic conditions.

7. The method of claim 5 wherein said slurry is used to polish copper.

Patent History
Publication number: 20060283093
Type: Application
Filed: Jun 15, 2005
Publication Date: Dec 21, 2006
Inventors: Ivan Petrovic (Princeton, NJ), Sharad Mathur (Macon, GA)
Application Number: 11/153,636
Classifications
Current U.S. Class: 51/307.000; 51/309.000
International Classification: B24D 3/02 (20060101);