METHOD FOR FORMING VERTICAL SPACERS FOR SPACER-DEFINED PATTERNING

A method of forming vertical spacers for spacer-defined multiple patterning, includes: depositing a first conformal pattern-transfer film having a first film stress, and continuously depositing a second conformal pattern-transfer film having a second film stress on a template; dry-etching the template except for a core material and a vertical portion of the first and second pattern-transfer films to form vertical spacers; and dry-etching the core material, forming a vacant space between the vertical spacers, wherein by adjusting the difference in film stress between the first and second pattern-transfer films, the leaning angle of the spacers is adjusted.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND Field of the Invention

The present invention relates generally to a method for forming vertical spacers for spacer-defined patterning in semiconductor fabrication processes.

Related Art

Patterning processes using lithography technology are essential to semiconductor fabrication processes. However, lithography technology faces difficulties in pattern miniaturization due to the limited wavelength of a laser light used in lithography. One approach to realize pattern miniaturization using known patterning technology is SADP (Self Aligned Double Pattering) or SDDP (Spacer-Defined Double Patterning). FIG. 4 is a schematic representation of double patterning (steps (a) to (c)) according to a comparative example. In step (a), mandrels (photoresist) 101 are patterned as a core material on an underlying layer 102. In step (b), a film 103 is deposited to cover the exposed surfaces of the mandrels 101 and the exposed surface of the underlying surface 102 in their entirety. In step (c), by dry etching, the horizontal portions of the film 103 and the mandrels 101 are etched so as to form spacers 104. By using the above process, a pattern constituted by the spacers 104 in step (c) can have a pitch which is a half of that of the pattern of the photoresist 101 in step (a), i.e., double-dense pitched patterning can be accomplished. However, in the process, the spacers 104 (formed from the sidewall portions of the film 103) lean toward a vacant space 110 during and after the core-stripping step (c) as shown in FIG. 4. This leaning phenomenon makes it difficult for semiconductor manufacturers to continuously conduct subsequent integration processes precisely. It is expected that the above leaning problem will become more serious as technology advances and miniaturization progresses.

There is another problem in the above conventional patterning illustrated in FIG. 4. That is, since the spacers 104 are formed from the film 103 deposited on the mandrels 101, and the film 103 deposited at the top corners of each mandrel 101 is necessarily curved as shown in step (b), a top portion 111 of the spacer 104 formed from the top corner portion of the film 103 becomes naturally rounded while being subjected to etching in step (c). Further, in the etch-back process of step (c), the rounded profile of the spacer 104 becomes more manifest and significant because the top portion of the spacer is attacked by ion bombardment from a plasma (wherein an outer part of the spacer is more vulnerable to ion bombardment than an inner part of the spacer), causing “shoulder loss”. If the shoulder loss is significant, the top portion of the spacer needs to be trimmed, thereby decreasing the height of the spacer. This shoulder loss phenomenon makes it difficult for semiconductor manufacturers to continuously conduct subsequent integration processes precisely. It is expected that the above shoulder loss problem will become more serious as technology advances and miniaturization progresses.

Any discussion of problems and solutions in relation to the related art has been included in this disclosure solely for the purposes of providing a context for the present invention, and should not be taken as an admission that any or all of the discussion was known at the time the invention was made.

SUMMARY

In order to solve at least one of the problems in the conventional patterning, in some embodiments of the present invention, the spacers are formed by using a two-layer film, thereby controlling the leaning angle of the etched spacers, particularly inhibiting or suppressing the spacers from inwardly leaning, which angle is defined as an angle of an inner face of each sidewall portion as measured with reference to a line vertical to a bottom of a vacant space which is formed by removing a core material between the spacers, wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward. The two-layer film satisfies the conditions that the two layers have different film stresses and both are highly conformal (preferably formed by atomic layer deposition, ALD). By changing the difference in film stress between the two layers, the leaning angle of the spacer can be controlled to a desired degree and in a desired direction (inward or outward). That is, by adjusting the outer layer to have more compressive stress than the inner layer (i.e., the outer layer has a greater value of stress in the negative direction than does the inner layer), the leaning angle of the spacer becomes greater in the positive direction, i.e., leaning inward. On the other hand, by adjusting the inner layer to have more compressive stress than does the outer layer (i.e., the inner layer has a greater value of stress in the negative direction than does the outer layer), the leaning angle of the spacer becomes greater in the negative direction, i.e., leaning outward. As such, by properly adjusting the difference in film stress between the inner and outer layers constituting the spacer, the leaning angle of the spacer can be adjusted to a target value.

Further, in some embodiments, the two-layer film satisfies the conditions that the two layers have different degrees of resistance to dry etching. By changing the difference in dry etch rate between the two layers, the top profile of the spacer can be manipulated. Particularly, by adjusting the inner layer to have less resistance to dry etching (a higher dry etch rate) than does the outer layer, shoulder loss can effectively be prevented, and the top of the spacer can become substantially flat when the spacer is formed. Thus, further etching to make the top of the spacer flat can be omitted, i.e., the effective height of the spacer can be maintained which height may vary depending on the target structure, the patterning method, etc. Accordingly, when the spacer is used as mask, a thick underlying layer can be processed. Further, by depositing another a pattern-transfer film on the spacers, followed by anisotropic etching, self-aligned quadruple patterning (SAQP) or spacer-defined quadruple patterning (SDQP) (spacer on spacer) can effectively be performed. By this, applications of the spacers can be broadened. In SAQP or SDQP, dividing the pitch of the spacers is performed twice, thereby increasing resolution to 11 nm HP (half pitch).

For purposes of summarizing aspects of the invention and the advantages achieved over the related art, certain objects and advantages of the invention are described in this disclosure. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.

Further aspects, features and advantages of this invention will become apparent from the detailed description which follows.

BRIEF DESCRIPTION OF THE DRAWINGS

These and other features of this invention will now be described with reference to the drawings of preferred embodiments which are intended to illustrate and not to limit the invention. The drawings are greatly simplified for illustrative purposes and are not necessarily to scale.

FIG. 1 is a schematic representation of a PEALD (plasma-enhanced atomic layer deposition) apparatus for depositing a protective film usable in an embodiment of the present invention.

FIG. 2 is a flowchart illustrating steps of fabricating a vertical spacer structure according to an embodiment of the present invention.

FIG. 3 is a flowchart illustrating steps of adjusting the shape of a vertical spacer structure according to another embodiment of the present invention.

FIG. 4 is a schematic representation of double patterning (steps (a) to (c)) according to a comparative example.

FIG. 5 is a schematic representation of double patterning (steps (a) to (c)) according to an embodiment of the present invention.

FIG. 6 is a schematic representation of double patterning (steps (a) to (c)) according to another embodiment of the present invention.

FIG. 7 is a STEM (Scanning Transmission Electron Microscope) photograph of a cross sectional view of spacers fabricated on a substrate according to an embodiment of the present invention, which photograph is annotated to show a leaning angle.

FIG. 8 shows STEM photographs of cross-sectional views wherein (a) and (b) show silicon oxide films having different film stress deposited on resist patterns, and (c) and (d) show spacers formed from the films shown in (a) and (b), respectively.

FIG. 9 shows STEM photographs of cross-sectional views wherein (a) and (b) show the spacers shown in (c) and (d) of FIG. 8, respectively, and (c) shows a deposited silicon oxide film consisting of an inner silicon oxide layer used for the spacers shown in (a) and an outer silicon oxide layer used for the spacers shown in (b), (d) shows spacers formed from the film shown in (c), (e) shows a deposited silicon oxide film consisting of an inner silicon oxide layer used for the spacers shown in (b) and an outer silicon oxide layer used for the spacers shown in (b), and (f) shows spacers formed from the film shown in (e), according to embodiments of the present invention.

FIG. 10 is a graph showing the relationship between the leaning angle and difference in film stress between the outer layer and inner layer of a two-layer pattern-transfer film according to embodiments of the present invention.

FIG. 11 shows STEM photographs of cross-sectional views annotated to show “shoulder loss”, wherein (a) shows spacers formed from a one-layer film according to a comparative example, and (b) shows spacers formed from a two-layer film according to an embodiment of the present invention.

FIG. 12 illustrates a mechanism of forming a spacer having a flat top wherein (a) schematically illustrates a two-layer film used for forming the spacer, and (b) to (f) are enlarged schematic partial views showing steps according to an embodiment of the present invention.

FIG. 13 shows STEM photographs of cross-sectional views showing steps of forming spacers having a flat top from a two-layer film in steps (a) to (f) according to an embodiment of the present invention.

FIG. 14 is a schematic representation of pattern transfer and target etching (steps (a) to (j)) using spacer-defined double patterning (SDDP) according to an embodiment of the present invention.

FIG. 15 is a flowchart illustrating steps of adjusting the top profile of a vertical spacer structure according to another embodiment of the present invention.

FIG. 16 illustrates a process sequence in one cycle of deposition of a silicon oxide film having a different film stress according to an embodiment of the present invention.

FIG. 17 illustrates a process sequence in one cycle of deposition of a silicon nitride film having a different film stress according to an embodiment of the present invention.

FIG. 18 is a schematic representation of vertical spacers for explaining mechanics of vertical spacers when the spacer is constituted by multiple layers (the number of layers is n).

FIG. 19 is a schematic representation of vertical spacers for explaining mechanics of vertical spacers when the spacer is constituted by two layers.

DETAILED DESCRIPTION OF EMBODIMENTS

In this disclosure, “gas” may include vaporized solid and/or liquid and may be constituted by a single gas or a mixture of gases. In this disclosure, a process gas introduced to a reaction chamber through a showerhead may be comprised of, consist essentially of, or consist of a precursor gas and an additive gas. The precursor gas and the additive gas are typically introduced as a mixed gas or separately to a reaction space. The precursor gas can be introduced with a carrier gas such as a noble gas. The additive gas may be comprised of, consist essentially of, or consist of a reactant gas and a dilution gas such as a noble gas. The reactant gas and the dilution gas may be introduced as a mixed gas or separately to the reaction space. A precursor may be comprised of two or more precursors, and a reactant gas may be comprised of two or more reactant gases. The precursor is a gas chemisorbed on a substrate and typically containing a metalloid or metal element which constitutes a main structure of a matrix of a dielectric film, and the reactant gas for deposition is a gas reacting with the precursor chemisorbed on a substrate when the gas is excited to fix an atomic layer or monolayer on the substrate. “Chemisorption” refers to chemical saturation adsorption. A gas other than the process gas, i.e., a gas introduced without passing through the showerhead, may be used for, e.g., sealing the reaction space, which includes a seal gas such as a noble gas. In some embodiments, “film” refers to a layer continuously extending in a direction perpendicular to a thickness direction substantially without pinholes to cover an entire target or concerned surface, or simply a layer covering a target or concerned surface. In some embodiments, “layer” refers to a structure having a certain thickness formed on a surface or a synonym of film or a non-film structure. A film or layer may be constituted by a discrete single film or layer having certain characteristics or multiple films or layers, and a boundary between adjacent films or layers may or may not be clear and may be established based on physical, chemical, and/or any other characteristics, formation processes or sequence, and/or functions or purposes of the adjacent films or layers.

Further, in this disclosure, the article “a” or “an” refers to a species or a genus including multiple species unless specified otherwise. The terms “constituted by” and “having” refer independently to “typically or broadly comprising”, “comprising”, “consisting essentially of”, or “consisting of” in some embodiments. Also, in this disclosure, any defined meanings do not necessarily exclude ordinary and customary meanings in some embodiments.

Additionally, in this disclosure, any two numbers of a variable can constitute a workable range of the variable as the workable range can be determined based on routine work, and any ranges indicated may include or exclude the endpoints. Additionally, any values of variables indicated (regardless of whether they are indicated with “about” or not) may refer to precise values or approximate values and include equivalents, and may refer to average, median, representative, majority, etc. in some embodiments.

In the present disclosure where conditions and/or structures are not specified, the skilled artisan in the art can readily provide such conditions and/or structures, in view of the present disclosure, as a matter of routine experimentation. In all of the disclosed embodiments, any element used in an embodiment can be replaced with any elements equivalent thereto, including those explicitly, necessarily, or inherently disclosed herein, for the intended purposes. Further, the present invention can equally be applied to apparatuses and methods.

The embodiments will be explained with respect to preferred embodiments in various aspects. However, the present invention is not limited to the preferred embodiments.

Some embodiments are directed to a method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, which spacers lean inwardly toward the vacant space at a first leaning angle which is defined as an angle of an inner face of each sidewall portion as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward.

In the above, the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film having different film stresses in a depth direction wherein a lower half of the conformal pattern-transfer film has a first film stress and an upper half of the conformal pattern-transfer film has a second film stress, wherein the first film stress is more compressive than the second film stress, whereby in step (iii), the spacers lean less inwardly toward the vacant space at a second leaning angle which is less than the first leaning angle. The lower half and the upper half of the pattern-transfer film constitute a two-layer film.

In this disclosure, a “two-layer” film or spacer may refer to a film or spacer having a two-layer structure wherein when mathematically, geographically, or virtually dividing the structure into a lower half and an upper half in a thickness (or depth) direction, the lower and upper halves, each as a whole, have differences in physical, chemical, and/or any other characteristics or properties, compositions or materials, and/or formation processes, wherein (a) the differences are manifested in the thickness direction discontinuously at a discrete (or detectable) boundary (or interface) formed between two adjacent discrete layers included in the lower and upper halves wherein the discrete boundary exists in the lower half or the upper half or at a virtual boundary between the lower and upper halves; (b) the differences are manifested in the thickness direction continuously without a discrete (or detectable) boundary (or interface) wherein the physical, chemical, and/or any other characteristics or properties, compositions or materials, and/or formation processes are gradually (substantially without discontinuity points) changed in the thickness direction; or (c) the differences are manifested in the thickness direction in a manner described in (a) and (b) in any combination. In some embodiments, the two-layer structure is constituted by two discrete layers satisfying (a) wherein the discrete boundary exists in the lower half or the upper half or at the virtual boundary between the lower and upper halves, wherein each layer may be constituted by a single layer or multiple layers satisfying (a), (b), or (c) above. In some embodiments, the two-layer film may consist of two single films. The phrase “consisting of” signifies exclusion of unrecited components. However, “consisting of” does not exclude additional components that are unrelated to the invention such as natural oxidation film ordinarily associated therewith. The two-layer film may be in contact with other film. However, the other film does not constitute a part of the two-layer film since the other film does not constitute a part of the vertical spacer.

In this disclosure, the term “conformal” may generally refer to preserving the correct angles between directions within small areas, e.g., maintaining the angle at a corner of a mandrel (core material) when depositing a pattern-transfer film on the mandrel so as to form a film along the sidewall of the mandrel, which film has a substantially uniform thickness along the sidewall, regardless of the thickness of film deposited on the top of the mandrel. In some embodiments, “conformal” refers to a ratio of thickness of film at a center deposited on the sidewall to thickness of film at a center deposited on the top being close to one (e.g., 1±0.2 or less), which is indicative of forming a film along the sidewall of the mandrel, which film has a substantially uniform thickness along the sidewall (“substantially uniform” refers to a maximum difference being less than 20% or less or 10% or less).

In some embodiments, the conformal pattern-transfer film is constituted by multiple layers (e.g., 2 to 6 discrete layers).

In some embodiments, the multiple layers are constituted by a first layer and a second layer deposited on the first layer, wherein the first layer has a film stress which is more compressive than a film stress of the second layer, and the first layer has a thickness which is 25% to 75% (e.g., 40% to 65%) of a total thickness of the first and second layers. The thickness of the first and second layers may be set in order to adjust the eventual leaning angle of the layers. That is, the greater the thickness of the layer, the higher the deformation force of the layer becomes, because the thicker layer is not easily bent and also can exert more deformation force on the other layer. For example, a change of the leaning angle can be manipulated by changing S1, T1, S2, and T2 (wherein S1 and T1 are film stress and thickness of the first layer, respectively, whereas S2 and T2 are film stress and thickness of the second layer, respectively) using the following factor:


F=(S1−S2)×(T1×T2)/(T1+T2)3  (1)

For example, when T1/T2=4/6, the leaning angle can be estimated to be decreased by 4% as compared with that when T1/T2=5/5 (i.e., [(5×5)/(5+5)3−(4×6)/(4+6)3]/[(5×5)/(5+5)3]=0.04). Similarly, when T1/T2=3/7, 2/8, and 1/9, the leaning angle can be estimated to be decreased by 16%, 36%, and 64%, respectively, as compared with that when T1/T2=5/5. Thus, when T1/T2 is approximately 4/6 or greater but less than 5/5, the leaning angle is expected to be substantially the same as that when T1/T2=5/5. On the other hand, when T1/T2 is approximately 2/8 or smaller, the leaning angle is expected to be significantly smaller than that when T1/T2=5/5. Accordingly, the eventual leaning angle can be adjusted by changing the thickness of each layer when using multiple layers having different film stress values.

Equation (1) above can be derived as follows. FIG. 18 is a schematic representation of vertical spacers for explaining mechanics of vertical spacers when the spacer is constituted by multiple layers (the number of layers is n). FIG. 19 is a schematic representation of vertical spacers for explaining mechanics of vertical spacers when the spacer is constituted by two layers. As illustrated in FIG. 18, it is assumed that a spacer 111 formed on a substrate 110 is constituted by n layers (n is an integer) wherein the X-axis is set in a thickness direction of the layers. When the spacer 111 is tilted inward, stress σL imparted by tilting to the spacer at thickness x (an inner layer is compressed whereas an outer layer is stretched with reference to a boundary set at thickness x) can be expressed as σL=ax+b (a, b are constants; particularly, a is proportional to an leaning angle). Stress σC generated by the material of the spacer can be expressed as σC1 (0<x<t1), σ2 (t1<x<t2), σn (tn-1<x<t). Stress σ(x) at thickness x is a sum of the above two components (i.e., σL(x) and σC(x)). Thus, potential energy W(x) at thickness x can be expressed as W(x)∝{σL(x)+σC(x)}2. For the entire spacer, potential energy Wtotal can be obtained by integrating the above with respect to x as Wtotal=∫0tW(x)dx∝∫0tL(x)+σC(x)}2dx. The potential energy has a minimum value, i.e., Wtotal(a, b)=minimum. Accordingly, the following simultaneous equations can be obtained, and by solving the equations, values of a, b, and leaning angle can be estimated:


Wtotal(a,b)/∂a=0,∂Wtotal(a,b)/∂b=0  (2)

In the above, when the spacer is constituted by two layers as illustrated in FIG. 19, a solution of simultaneous equations (2) is derived as follows:


a=6(σ1−σ2)(t−t1)(t1/t3)  (3)

Equation (1) is the same as equation (3) where T1=t1, (T1+T2)=t, S11, S22, and F=a/6. According to equation (1) or (3), in a configuration where the spacer is constituted by two layers having different stress values, and the total thickness is constant, when a ratio of thickness of layer A to thickness of layer B is 1/1, the difference in leaning angle with reference to the leaning angle of a spacer constituted by a single layer becomes maximum, and when the ratio is other than 1/1, the leaning angle of the spacer constituted by two layers becomes closer to that of the spacer constituted by a single layer, wherein the eventual leaning angle of the spacer can be estimated based on “F” of equation (1) as discussed above.

In some embodiments, the thickness of the first layer is equal to or greater than the thickness of the second layer. The total thickness of the pattern-transfer film may widely vary depending on the resolution of lithography, the intended application of the spacer, etc., and may typically be in a range of approximately 3 nm to approximately 40 nm (more typically approximately 10 nm to approximately 40 nm). According to a non-limiting theory, by setting the thickness of the first layer which is equal to or greater than the thickness of the second layer, while the top of the inner layer (the inner layer) of the spacer is more easily etched by ion bombardment from a plasma than the outer layer (the second layer) of the spacer, the top of the outer layer is also irradiated with reflected ion irradiation by the etched surface of the inner layer, promoting the etching of the top of the outer layer and advancing flattening the top of the spacer.

In some embodiments, the conformal pattern-transfer film has film stress varying in the depth direction, wherein the film stress gradually or continuously increases in an outward direction. The gradual or continuous increase of film stress can be determined using an approximation straight or curved line drawn in a cross sectional view of the pattern-transfer film (localized discontinuous points can exist). In some embodiments, the gradual or continuous increase of film stress can be observed in the entire thickness of the pattern-transfer film. In some embodiments, the gradual increase of film stress is an increase in steps (intermittent increase in the thickness direction). In the above, the pattern-transfer film may be constituted by a single discrete film having a same composition or multiple different discrete films having different compositions.

In some embodiments, a difference between the film stress of the first layer and the film stress of the second layer is between approximately 150 MPa and approximately 800 MPa (e.g., approximately 200 MPa to approximately 600 MPa).

In some embodiments, the first and second layers are independently constituted by any suitable material such as silicon oxide, silicon nitride, silicon carbide, titanium oxide, and titanium nitride, etc. Silicon oxide is characterized primarily by Si—O bonds but can also contain carbon atoms, hydrogen atoms, and other insubstantial components such as unavoidable impurities associated with the deposition process, and silicon nitride is characterized primarily by Si—N bonds but can also contain carbon atoms, hydrogen atoms, and other insubstantial components such as unavoidable impurities associated with the deposition process. In some embodiments, the first and second layer are constituted by different compositions; for example, the first layer is constituted by silicon nitride and the second layer is silicon oxide. In some embodiments, the first and second layers are constituted by a same compositions such as silicon oxide.

Film stress of each pattern-transfer film can be adjusted by manipulating the deposition conditions. For example, as for a silicon oxide film, by inserting n times (n is an integer) a sub-cycle wherein the template is exposed to an argon plasma without oxygen, in one cycle of PEALD wherein the template is exposed to an oxygen-argon plasma typically once, the film stress can be adjusted. For example, as for a silicon nitride film, by changing the duration of an RF power pulse and/or the applied RF power in one cycle of PEALD, the film stress can be adjusted. By manipulating the above parameters, the film stress can be adjusted to be constant or changed in steps or continuously in the thickness direction.

In some embodiments, the second leaning angle is in a range of approximately −1 degree to approximately 1 degree (in other embodiments, in a range of approximately −1 degree to approximately 2 degrees). The leaning angle is defined as an angle formed at an intersecting point between a line representing the inner face of the sidewall portion of the spacer on in a cross sectional view and a line vertical to a line representing the bottom face of the vacant space in the cross sectional view. The line representing the inner face is an approximation straight line representing the inner face passing through the intersecting point in the cross sectional view. The line representing the bottom face is an approximation straight line representing the bottom face passing through the intersecting point in the cross sectional view. When the leaning angle is zero, the inner face of the spacer is completely vertical to the bottom face, when the leaning angle is a positive value, the inner face of the spacer leans inward, and when the leaning angle is a negative value, the inner face of the spacer leans outward.

In some embodiments, the multiple layers are deposited by plasma-enhanced atomic layer deposition (PEALD) which is capable of depositing a highly conformal film (e.g., a conformality of 80% or higher or 90% or higher).

In some embodiments, the first layer has less resistance to dry etching than does the second layer. By manipulating resistance to dry etching of the first and second layers, the top profile of the vertical spacer can be adjusted. In some embodiments, a difference in resistance to dry etching between the first layer and the second layer is such that in step (ii) (of dry-etching the template) which is an etchback process, a top of each spacer becomes substantially flat by inhibiting shoulder loss of the spacer by dry etching. The resistance to dry etching indicates how fast or slow the spacer material is etched (i.e., dry etch rate) during an etchback process using a plasma. In some embodiments, the difference in dry etch rate between the first and second layers is in a range of approximately 0.05 nm/sec. to approximately 0.5 nm/sec., typically approximately 0.1 nm/sec. to 0.3 nm/sec., so as to effectively flatten the top of the vertical spacer. In some embodiments, for the etchback process by a plasma (step (ii)), a fluorine-containing etchant such as CF4, CHF3, or C4F8 is used in combination with argon (for etching silicon nitride, additionally oxygen), whereas for a stripping process (ashing process) by a plasma (step (iii)), oxygen or argon gas is used as an etchant. For etching a pattern-transfer film constituted by a silicon oxide outer layer and a silicon nitride inner layer, first, the silicon oxide outer layer is etched under conditions set for etching silicon oxide, and then after a time period set for removing the silicon oxide outer layer from the top of the mandrel, the silicon nitride inner layer is etched under conditions set for etching silicon nitride. In some embodiments, during the stripping process, the flattening of the top of the vertical spacer progresses continuously.

In another aspect, some embodiments are directed to a method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, which spacers lean outwardly away from each other at a first leaning angle which is defined as an angle of an inner face of each sidewall portion as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a negative value represents leaning outward.

In the above, the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film having different film stresses in a depth direction wherein a lower half of the conformal pattern-transfer film has a first film stress and an upper half of the conformal pattern-transfer film has a second film stress, wherein the first film stress is more tensile than the second film stress, whereby in step (iii), the spacers lean less outwardly away from each other at a leaning angle which is greater than the first leaning angle. When the vertical spacer tends to lean outward in a direction decreasing the leaning angle, the embodiments disclosed herein also can apply, thereby forming the vertical spacer having a leaning angle of substantially zero (e.g., ±1 degree).

In still another aspect, some embodiments are directed to a method of forming vertical spacers for spacer-defined multiple patterning, comprising: (i) providing a template having a surface patterned by a mandrel formed on an underlying layer in a reaction space; (ii) depositing a first conformal pattern-transfer film having a first film stress, and continuously depositing a second conformal pattern-transfer film having a second film stress on the entire patterned surface of the template, wherein the first and second film stresses are different; (iii) dry-etching the template whose entire upper surface is covered with the first and second pattern-transfer films, and thereby selectively removing a portion of the first and second pattern-transfer films formed on a top of the mandrel and a horizontal portion of the first and second pattern-transfer films while leaving the mandrel as a core material and a vertical portion of the first and second pattern-transfer films as vertical spacers; and (iv) dry-etching the core material, forming a vacant space between the vertical spacers, whereby the template has a surface patterned by the vertical spacers on the underlying layer.

In some embodiments, the above method further comprises: (v) measuring a leaning angle of the vertical spacer, which is defined as an angle of an inner face of the vertical spacer facing the vacant space as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward, followed by judging whether the leaning angle is within a target range; (vi) conducting again steps (i) to (iv): (a) without changes to form final vertical spacers if the leaning angle is within the target range; (b) with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher tensile stress than the second film stress by a measurable degree is deposited, if the leaning angle is greater than the target range; or (c) with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower tensile stress than the second film stress by a measurable degree is deposited, if the leaning angle is smaller than the target range; and (vii) repeating steps (v) and (vi) after increasing the measurable degree used in (b) or (c), if (b) or (c) in step (vi) is conducted. Through these steps, the vertical spacer having a desired or target leaning angle can effectively be formed.

In some embodiments, the first conformal pattern-transfer film has a first resistance to dry etching, and the second conformal pattern-transfer film has a second resistance to dry etching; and step (v) further comprises judging whether a top of the vertical spacer is substantially flat, wherein if the top of the vertical spacer is not substantially flat: in step (vi), as the first conformal pattern-transfer film, a first conformal pattern-transfer film which has less resistance to dry etching than the first resistance to dry etching and also than the second conformal pattern-transfer film by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film, a second conformal pattern-transfer film which has higher resistance to dry etching than the second resistance to dry etching and also than the first conformal pattern-transfer film by a measurable degree is deposited; and in step (vii), steps (v) and (vi) are repeated after increasing the measurable degree, until the top of the vertical spacer is substantially flat. Accordingly, the vertical spacer having not only a desired leaning angle but also a substantially flat top can effectively be formed.

In this disclosure, a “substantially flat” top of a spacer may refer to a top where a height of a top curved or slanted portion is approximately 10 nm or less or alternatively or additionally is less than 20% (preferably 10% or less) of a height of the spacer (see FIG. 11).

In yet another aspect, some embodiments are directed to a method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, wherein a shoulder part of each spacer facing the vacant space, which shoulder part is an outer corner of a top of the spacer, is lost or etched, forming an inclined surface from an outer side to an inner side of the top of the spacer.

In the above, the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film constituted by a two-layer film including a first layer and a second layer deposited on the first layer wherein the first layer has less resistance to dry etching than does the second layer, wherein a difference in resistance to dry etching between the first layer and the second layer is such that in step (iii), the top of each spacer becomes substantially flat by inhibiting shoulder loss of the spacer by dry etching. Accordingly, the spacer having a substantially flat top can effectively be formed.

In some embodiments, the first layer is constituted by silicon nitride, and the second layer is constituted by silicon oxide.

Embodiments will be explained with respect to the drawings. However, the present invention is not limited to the drawings.

FIG. 2 is a flowchart illustrating steps of fabricating a vertical spacer structure according to an embodiment of the present invention. In step (i), a template having a surface patterned by a mandrel formed on an underlying layer is provided in a reaction space. The pattern by the mandrel can be formed by photolithography using conventional UV light or extreme UV light. The mandrel is made of an organic photoresist material.

In step (ii), a first conformal pattern-transfer film having a first film stress is deposited, and continuously a second conformal pattern-transfer film having a second film stress is deposited on the entire patterned surface of the template, wherein the first and second film stresses are different. Typically, the conformal pattern-transfer film is formed by ALD such as PEALD, thermal ALD, or any equivalent deposition method.

In step (iii), the template whose entire upper surface is covered with the first and second pattern-transfer films is dry-etched, thereby selectively removing a portion of the first and second pattern-transfer films formed on a top of the mandrel and a horizontal portion of the first and second pattern-transfer films while leaving the mandrel as a core material and a vertical portion of the first and second pattern-transfer films as vertical spacers. This step is also referred to as etchback. The dry etching is typically performed using a plasma using a suitable etchant gas which is selected for the composition or material of the target film. Preferably, plasma etching uses a capacitively coupled plasma or a direct plasma, since such plasma contains ions in addition to radicals, whereas a remote plasma has substantially no ions. Further, such plasma is typically anisotropic and is suitable for patterning.

In step (iv), the core material is dry-etched, forming a vacant space between the vertical spacers, whereby the template has a surface patterned by the vertical spacers on the underlying layer. This step is also referred to as ashing or stripping. An etchant gas is selected for the composition or material to be removed.

FIG. 3 is a flowchart illustrating steps of adjusting the shape of a vertical spacer structure according to another embodiment of the present invention, which can be conducted when the film profile obtained according to the flowchart illustrated in FIG. 2 is not satisfactory, typically in terms of the vertical degree of the spacer. In step (v), a leaning angle of the vertical spacer is measured, followed by judging whether the leaning angle is within a target range in step D1. FIG. 7 illustrates a leaning angle. FIG. 7 is a STEM (Scanning Transmission Electron Microscope) photograph of a cross sectional view of spacers fabricated on a substrate according to an embodiment of the present invention, which photograph is annotated to show a leaning angle. As illustrated in FIG. 7, the leaning angle θ is defined as an angle of an inner face 104a of a vertical spacer 104 facing a vacant space 110 as measured with reference to a line vertical to a bottom face 102a of a bottom 102 of the vacant space 110 wherein a leaning angle of zero represents completely vertical and a leaning angle θ of a positive value represents leaning inward, whereas a leaning angle θ of a negative value represents leaning outward.

In step D1, it is judged whether the leaning angle is within a target range. If the leaning angle is judged to be within the target range, in step (vi)(a), steps (i) to (iv) are conducted again without changes to form final vertical spacers, i.e., the process ends.

In step D1, if the leaning angle is judged not to fall within the target range and to be greater than the target range, in step (vi)(b), steps (i) to (iv) are conducted again with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher tensile stress than the second film stress by a measurable degree is deposited.

In step D1, if the leaning angle is judged not to fall within the target range and to be smaller than the target range, in step (vi)(c), steps (i) to (iv) are conducted again with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower tensile stress than the second film stress by a measurable degree is deposited, if the leaning angle is smaller than the preset range.

In a step subsequent to step (vi)(b) or step (vi)(c), the process goes back to steps (v) and (vi) after increasing the measurable degree used in step (vi)(b) or step (vi)(c).

Step D2 and step (vii) are additional or optional steps which enable the top of the spacer to be substantially flat (the additional, optional, or alternative flow is indicated with broken lines). In step D2, it is judged whether a top of the vertical spacer is substantially flat. If the top of the vertical spacer is judged not to be substantially flat, in step (vii), as the first conformal pattern-transfer film, a first conformal pattern-transfer film which has less resistance to dry etching than the first resistance to dry etching and also than the second conformal pattern-transfer film by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film, a second conformal pattern-transfer film which has higher resistance to dry etching than the second resistance to dry etching and also than the first conformal pattern-transfer film by a measurable degree is deposited, and the process moves to step (vi)(b) or step (vi)(c) after increasing the measurable degree so as to not only adjust the leaning angle but also the top profile of the vertical spacer.

Adjusting the top profile of the vertical spacer can be conducted independently of adjusting the leaning angle of the vertical spacer in some embodiments. FIG. 15 is a flowchart illustrating steps of adjusting the top profile of a vertical spacer structure according to another embodiment of the present invention. In step (1), the top profile of the vertical spacer is evaluated. In step (2), it is judged whether the top of the spacer is substantially flat. If the top of the spacer is judged to be substantially flat, in step (3), the process goes back to steps (i) to (iv) illustrated in the flowchart of FIG. 2 without changes to the pattern-transfer film so as to form final vertical spacers. If the top of the spacer is judged not to be substantially flat, in step (4), as the first pattern-transfer film, a pattern-transfer film having a higher dry etch rate (DER) than the first pattern-transfer film is prepared, and/or as the second pattern-transfer film, a pattern-transfer film having a lower DER than the second pattern-transfer film is prepared. In step (5), the process goes back to steps (i) to (iv) illustrated in the flowchart of FIG. 2 to form the revised pattern-transfer film, and then, the process goes back to step (1).

In accordance with the flowcharts discussed above, vertical spacers can be formed as illustrated in FIGS. 5 and 6 in spacer-defined double patterning (SDDP). FIG. 5 is a schematic representation of double patterning (steps (a) to (c)) according to an embodiment of the present invention. In step (a), mandrels (photoresist) 101 are patterned as a core material on an underlying layer 102. In step (b), a pattern-transfer film 105 comprised of a first layer 105a and a second layer 105b is deposited to cover the exposed surfaces of the mandrels 101 and the exposed surface of the underlying surface 102 in their entirety. In step (c), by dry etching, the horizontal portions of the film 105 and the mandrels 101 are etched so as to form spacers 106 constituted by an inner layer 106a (the first layer 105a) and an outer layer 106b (the second layer 105b). By using the above process, a pattern constituted by the spacers 106 in step (c) can have a pitch which is a half of that of the pattern of the photoresist 101 in step (a), i.e., double-dense pitched patterning can be accomplished. In this process, as discussed in this disclosure, since the spacers 106 are formed from the two-layer pattern-transfer film 105, the leaning angle of the spacers can be adjusted by using the difference in film stress between the first and second layers, thereby forming spacers having a leaning angle of substantially zero (not leaning toward a vacant space 110 during and after the core-stripping step (c) as shown in FIG. 4). The leaning phenomenon can effectively be inhibited so as to make it easy for semiconductor manufacturers to continuously conduct subsequent integration processes precisely. It is expected that the above feature will become more important as technology advances and miniaturization progresses.

FIG. 6 is a schematic representation of double patterning (steps (a) to (c)) according to another embodiment of the present invention. In step (a), mandrels (photoresist) 101 are patterned as a core material on an underlying layer 102. In step (b), a pattern-transfer film 107 comprised of a first layer 107a and a second layer 107b is deposited to cover the exposed surfaces of the mandrels 101 and the exposed surface of the underlying surface 102 in their entirety. The first layer 107a has a higher dry etch rate than does the second layer 107b. In step (c), by dry etching, the horizontal portions of the film 107 and the mandrels 101 are etched so as to form spacers 108 constituted by an inner layer 108a (the first layer 107a) and an outer layer 108b (the second layer 107b). By using the above process, a pattern constituted by the spacers 106 in step (c) can have a pitch which is a half of that of the pattern of the photoresist 101 in step (a), i.e., double-dense pitched patterning can be accomplished. In this process, as discussed in this disclosure, since the spacers 108 are formed from the two-layer pattern-transfer film 107, a top profile 112 of the spacers can be adjusted by using the difference in dry etch rate between the first and second layers, thereby making a top portion 112 of the spacers become substantially flat (inhibiting shoulder loss as shown in FIGS. 4 and 5). The shoulder loss phenomenon can effectively be inhibited so as to make it easy for semiconductor manufacturers to continuously conduct subsequent integration processes precisely. It is expected that the above feature will become more important as technology advances and miniaturization progresses.

In the spacer illustrated in FIG. 6, since the top edge of the two-layer spacer is substantially flat, the spacer can effectively and suitably be applied to not only SDDP but also spacer-defined quadruple patterning (SDQP) or a higher level (spacer-defined multiple patterning, SDMP), by using the spacers 108 as the mandrels 101 in step (a) in FIG. 6, and repeating steps (b) and (c).

Without intending to limit the present invention, the flattening mechanism may be explained as illustrated in FIG. 12. FIG. 12 illustrates the mechanism of forming a spacer having a flat top wherein (a) schematically illustrates a two-layer film used for forming the spacer, and (b) to (f) are enlarged schematic partial views showing steps according to an embodiment of the present invention. Prior to etching, a mandrel 101 formed on a substrate 102 is covered with a first layer (inner layer) 107a of a pattern-transfer film 107, which is covered with a second layer (outer layer) 107b of the pattern-transfer film 107 as illustrated in (a). The inner layer 107a is constituted by silicon nitride, whereas the outer layer 107b is constituted by silicon oxide in this example, wherein the inner layer 107a has a dry etch rate which is higher than that of the outer layer 107b. The sidewall portion of the pattern-transfer film 107 will form a spacer as illustrated in (b) which is an enlarged view of an upper sidewall portion (shoulder part) 60 of the pattern-transfer film 107. First, the outer layer 107b at the shoulder part is predominantly etched anisotropically by a plasma containing ions, thereby exposing the inner layer 107a at the shoulder part wherein an etched surface 107a1 of the inner layer 107a and an etched surface 107b1 of the outer layer 107b are exposed as illustrated in (c). Since the shoulder part 60 is rounded, the exposed surfaces formed by anisotropic etching are naturally inclined as illustrated in (c). As anisotropic etching progresses (etching conditions are switched from those set mainly for etching silicon nitride to those set mainly for etching silicon oxide in this example), the exposed surface 107a1 of the inner layer 107a is etched more than is the exposed surface 107b1 of the outer layer 107b by ion bombardment or ion irradiation from the plasma since the inner layer 107a has a higher dry etch rate than does the outer layer 107b, thereby forming an exposed surface 107a2 of the inner layer 107a and an exposed surface 107b2 and an exposed surface 107b3 of the outer layer 107b as illustrated in (d). The exposed surface 107b3 of the outer layer 107b is formed due to the difference in etching speed between the inner layer 107a and the outer layer 107b, wherein the inner layer 107a is etched faster than is the outer layer 107b, thereby forming a step (tapered protrusion) between the inner layer 107a and the outer layer 107b. Since the exposed surface 107a2 is inclined and ion bombardment is reflected on the exposed surface 107a2 toward the exposed surface 107b3 (as illustrated by arrows in (d)), the exposed surface 107b3 receives the reflected ions, and the tapered protrusion is etched from its tip by the reflected ions. As anisotropic etching further progresses, ions reflected by an exposed surface 107a3 keep bombarding the tapered protrusion, thereby lowering the height of the tapered protrusion as illustrated in (e), wherein a portion 107b5 indicated by a broken line is removed, thereby forming an exposed surface 107b4. As anisotropic etching further progresses, the height of the protrusion is lowered, and an exposed surface 107a4 and an exposed surface 107b6 lead to formation of a substantially flat surface as illustrated in (f).

The two-layer film disclosed herein can be used in various applications, including spacer-defined double patterning (SDDP). FIG. 14 is a schematic representation of pattern transfer and target etching using SDDP according to an embodiment of the present invention, wherein a two-layer film is used as a pattern-transfer film to transfer a pattern from a first template to a second template. An antireflective layer (ARL) 94 is used as the first template for increasing pattern density (e.g., pitch reduction) in SDDP processes. An etch hardmask 82 is used as the second template for etching a target layer 81. In step (a) in FIG. 14, on the antireflective layer 94 (constituted by e.g., amorphous carbon), a photoresist pattern 93 (constituted by e.g., Novolacs) is formed so that the antireflective layer 94 can be etched in the photoresist pattern in step (b) which is a step of transferring a pattern to the first template 94. In step (c), a two-layer film 95 (as a pattern-transfer film) is deposited according to any of the disclosed embodiments or equivalents thereto, followed by etching in step (d) which is a spacer RIE (reactive ion etch) step. By stripping the material of the antireflective layer 94 (a photoresist material in the core portions 96), vertical spacers 84 are formed in step (e). Since the two-layer film 95 has high etch selectivity, the antireflective layer 94 (the first template) for forming the spacer thereon can be thin and the two-layer film can be preserved during etching to form the vertical spacers 84 in step (e). In some embodiments, the thickness of the antireflective layer is about 5 to 50 nm (typically 10 to 30 nm), and the thickness of the two-layer film is about 5 to 50 nm (typically 10 to 20 nm). In step (f), the pattern is transferred by etching from the vertical spacers 84 to the second template 82 to form second vertical spacers 74, and in step (g), the first vertical spacers 84 (two-layer spacers) are stripped. Since the top edge of the two-layer spacer 84 is flat, formation of the second template 82 with the second vertical spacers 74 can be accurately accomplished. In step (h), a target layer 81 formed on a silicon substrate 70 is subjected to dry etch using the second vertical spacers 74. In step (i), the second vertical spacers 74 are stripped. In some embodiments, the antireflective layer, etch hardmask, two-layer film (spacer), and target layer may be deposited by any of the methods disclosed herein or equivalents thereof or by pulsed PECVD or PEALD.

The two-layer film is resistant to not only HCl, and TMAH wet etch, but also e.g. to BCl3, BCl3/Ar, dry etch, and thus, in step (f), when transferring the pattern to the second template 82, the two-layer 84 preserves the pattern. On the other hand, the two-layer film is sensitive to oxidation, a combination of wet etch chemistry alternating oxidizing and HF (common in semiconductor processing), or dry etch based on oxygen or CF4, for example, and thus, in step (g), the two-layer spacer 84 can effectively be stripped.

The pattern-transfer film having a different film stress can be formed by manipulating deposition parameters such as RF power, the duration of RF power pulse, the flow rate of reactant, the number of additionally conducted sub-cycles or reforming cycles, etc. A skilled artisan can readily determine such deposition parameters as a matter of routine experimentation in view of this disclosure.

As for a silicon oxide film used as a first and/or second layer(s) of a pattern-transfer film, for example, by manipulating feed of reactant, the film stress of a resultant silicon oxide film can be adjusted. FIG. 16 illustrates a process sequence in one cycle of deposition of a silicon oxide film having a different film stress according to an embodiment of the present invention, wherein the one cycle is constituted by cycle A and cycle B. In this embodiment, cycle A is a primary cycle whereas cycle B is a sub-cycle (secondary cycle). Both cycles are comprised of “Feed” where a Si precursor is fed to a reaction space so that the precursor is adsorbed or chemisorbed on a surface of a template, “Purge” where the reaction space is purged so that excess precursor which is not adsorbed or chemisorbed is removed from the surface of the template, “RF” where RF power is applied to the reaction space so that adsorbed or chemisorbed precursor is exposed to a plasma to form an atomic layer, and “Purge” where the reaction space is purged so that non-reacted components and byproducts are removed from the surface of the template. The precursor is supplied to the reaction space using a flow of carrier gas which is constantly and continuously supplied to the reaction space throughout the cycle. In cycle A, oxygen is constantly and continuously supplied to the reaction space throughout cycle A (so as to generate an oxygen-argon plasma), whereas in cycle B, no oxygen is supplied to the reaction space throughout cycle B (so as to generate an argon plasma). Further, RF power in cycle B may be higher than that in cycle A, and/or the duration of RF power pulse in cycle B may be longer than that in cycle A. Cycle B is conducted n times (n is an integer of 0 to 10, e.g., 1 to 5) after cycle A is conducted once. By changing the number of repetitions (n) of cycle B, the film stress of resultant silicon oxide film can be changed in a compressive direction. Additionally or alternatively, by changing RF power and/or the duration of RF power pulse in cycle A, the film stress of silicon oxide film can also be adjusted. In some embodiments, the film stress of silicon oxide film can be changed in a range of approximately +100 MPa to approximately −300 MPa, preferably approximately 0 MPa to approximately −200 MPa.

The dry etch rate of silicon oxide can be adjusted by changing a ratio of flow rate of reactant gas and flow rate of dilution gas, for example. In some embodiments, the dry etch rate of silicon oxide can be changed in a range of approximately 0.7 nm/sec. to approximately 1.5 nm/sec., preferably approximately 0.5 nm/sec. to approximately 1.0 nm/sec, depending on the dry etching conditions.

For deposition of a silicon oxide film, as a precursor, BDEAS (bisdiethylaminosilane), 3DMAS (tris(dimethylamino)silane), or the like can be favorably used singly or in any combination of two or more of the foregoing. As each of a carrier gas and a dilution gas, Ar, He, or the like can be favorably used singly or in any combination of two or more of the foregoing. As a reactant gas, O2, N2O, or the like can be favorably used singly or in any combination of two or more of the foregoing.

In some embodiments, the deposition cycle of silicon oxide film may be performed by PEALD using the process sequence illustrated in FIG. 16, one cycle of which is conducted under conditions shown in Table 1 below.

TABLE 1 (numbers are approximate) Conditions for Deposition Cycle of Silicon Oxide Film Cycle A Substrate temperature 75 to 200° C. (preferably 90 to 120° C.) Pressure 300 to 800 Pa (preferably 350 to 450 Pa) Precursor pulse (“Feed 1”) 0.5 to 2.0 sec (preferably 0.5 to 1.0 sec) Precursor purge (“Purge 1”) 1.5 to 4.0 sec (preferably 2.0 to 3.0 sec) Flow rate of reactant (continuous) 1.0 to 4.0 sccm (preferably 1.5 to 2.5 sccm) Flow rate of carrier gas 1.0 to 4.0 sccm (preferably 1.5 to 2.5 sccm) (continuous) Flow rate of dilution gas 1.0 to 4.0 sccm (preferably 1.5 to 2.5 sccm) (continuous) RF power (13.56 MHz) for a 300-mm 30 to 300 W (preferably 50 to 100 W) wafer RF power pulse (“RF 1”) 0.1 to 2.0 sec (preferably 0.2 to 1.0 sec) Purge (“Purge 2”) 0.1 to 0.5 sec (preferably 0.1 to 0.2 sec) Growth rate per cycle (on top 0.1 to 0.5 nm/cycle surface) Distance between electrodes 9.0 to 15.0 mm (preferably 10.0 to 12.0 mm) Cycle B Substrate temperature 75 to 200° C. (preferably 90 to 120° C.) Pressure 300 to 800 Pa (preferably 350 to 450 Pa) Precursor pulse (“Feed 2”) 0.5 to 2.0 sec (preferably 0.5 to 1.0 sec) Precursor purge (“Purge 3”) 1.5 to 4.0 sec (preferably 2.0 to 3.0 sec) Flow rate of carrier gas 1.0 to 4.0 sccm (preferably 1.5 to 2.5 sccm) (continuous) Flow rate of dilution gas 2.0 to 8.0 sccm (preferably 3.0 to 6.0 sccm) (continuous) RF power (13.56 MHz) for a 300-mm 100 to 500 W (preferably 200 to 300 W) wafer RF power pulse (“RF 2”) 1.0 to 4.0 sec (preferably 1.5 to 2.5 sec) Purge (“Purge 4”) 0.5 to 2.0 sec (preferably 0.5 to 1.0 sec) Growth rate per cycle (on top 0.0 to 0.05 nm/cycle surface) Distance between electrodes 9.0 to 15.0 mm (preferably 10.0 to 12.0 mm) Step coverage (side/top) 90 to 100% (preferably 95 to 100%) Cycle ratio (n = cycle B/cycle A) 0 to 5 (preferably 0 to 3)

In the above, for other sizes of substrate, the wattage per cm2 calculated from the above can be applied.

As for a silicon nitride film used as a first and/or second layer(s) of a pattern-transfer film, for example, by manipulating feed of reactant, the film stress of a resultant silicon nitride film can be adjusted. FIG. 17 illustrates a process sequence in one cycle of deposition of a silicon nitride film having a different film stress according to an embodiment of the present invention. In this embodiment, the cycle is comprised of “Feed” where a Si precursor is fed to a reaction space so that the precursor is adsorbed or chemisorbed on a surface of a template, “Purge 1” where the reaction space is purged so that excess precursor which is not adsorbed or chemisorbed is removed from the surface of the template, “RF” where RF power is applied to the reaction space so that adsorbed or chemisorbed precursor is exposed to a plasma to form an atomic layer, and “Purge 2” where the reaction space is purged so that non-reacted components and byproducts are removed from the surface of the template. The precursor is supplied to the reaction space using a flow of carrier gas which is constantly and continuously supplied to the reaction space throughout the cycle. In the cycle, Ar and N2 are constantly and continuously supplied to the reaction space throughout the cycle. By changing RF power and/or the duration of RF power pulse in the cycle, the film stress of silicon nitride film can be adjusted. For example, by increasing the duration of RF power pulse, the film stress of silicon nitride can be changed in a compressive direction. In some embodiments, the film stress of silicon nitride film can be changed in a range of approximately −300 MPa to approximately −900 MPa, preferably approximately −400 MPa to approximately −800 MPa.

The dry etch rate of silicon nitride can be adjusted by the duration of RF power pulse in the cycle. In some embodiments, the dry etch rate of silicon nitride can be changed in a range of approximately 0.5 nm/sec. to approximately 2 nm/sec., preferably approximately 1 nm/sec. to approximately 1.5 nm/sec, depending on the dry etching conditions.

For deposition of a silicon nitride film, as a precursor, BDEAS (bisdiethylaminosilane), DCS (dichlorosilane), silane, or the like can be favorably used singly or in any combination of two or more of the foregoing. As each of a carrier gas and a dilution gas, Ar, He, or the like can be favorably used singly or in any combination of two or more of the foregoing. A dilution gas need not be used and can be entirely eliminated (as in Example 1 discussed below). As a reactant gas, N2, H2, NH3, or the like can be favorably used singly or in any combination of two or more of the foregoing.

In some embodiments, the deposition cycle of silicon nitride film may be performed by PEALD using the process sequence illustrated in FIG. 17, one cycle of which is conducted under conditions shown in Table 2 below.

TABLE 2 (numbers are approximate) Conditions for Deposition Cycle of Silicon Nitride Film Substrate temperature 75 to 200° C. (preferably 100 to 180° C.) Pressure 150 to 3000 Pa (preferably 300 to 500 Pa) Precursor pulse (“Feed”) 0.1 to 1.0 sec (preferably 0.3 to 0.45 sec) Precursor purge (“Purge 1”) 0.1 to 2.0 sec (preferably 0.5 to 1.0 sec) Flow rate of reactant (continuous) 1000 to 15000 sccm (preferably 2500 to 10000 sccm) Flow rate of carrier gas (continuous) 500 to 4000 sccm (preferably 1000 to 2000 sccm) Flow rate of dilution gas (continuous) 0 to 15000 sccm (preferably 0 to 10000 sccm) RF power (13.56 MHz) for a 300-mm 100 to 500 W (preferably 200 to 300 W) wafer RF power pulse (“RF”) 1 to 5 sec (preferably 2 to 4 sec) Purge (“Purge 2”) 0 to 1 sec (preferably 0.1 to 0.2 sec) Growth rate per cycle (on top surface) 0.01 to 0.1 nm/cycle Step coverage (side/top) 80 to 100% (preferably 90 to 100%) Distance between electrodes 7.5 to 20 mm (preferably 10 to 15 mm)

In the above, for other sizes of substrate, the wattage per cm2 calculated from the above can be applied.

In some embodiments, silicon nitride film can be deposited using the method disclosed in U.S. Patent Publication No. 2017/0062204 A1, particularly FIGS. 4A and 4B and the corresponding text of the publication, the disclosure of which is herein incorporated by reference in its entirety.

In some embodiments, dry etching of the silicon oxide film (etchback process) is conducted under the conditions shown in Table 3 below.

TABLE 3 (numbers are approximate) Conditions for Dry Etching (Etchback) of Silicon Oxide Thickness of SiO film to be 5 to 40 nm (preferably 10 to 20 nm) etched Substrate temperature 20 to 100° C. (preferably 40 to 60° C.) Pressure 3 to 10 Pa (preferably 4 to 8 Pa) Etchant gas CF4, CHF3, C4F8 Flow rate of etchant gas 10 to 100 sccm (preferably 20 to 40 sccm) (continuous) Flow rate of dilution gas 100 to 300 sccm (preferably 150 to 250 sccm); (continuous) He, Ar High RF power (13.56 or 27.12 MHz) 100 to 1000 W (preferably 300 to 500 W) for a 300-mm wafer Low RF power (400 kHz) for a 50 to 500 W (preferably 100 to 300 W) 300-mm wafer Duration of RF power 5 to 40 sec. (preferably 10 to 20 sec.) application Distance between electrodes 20 to 60 mm (preferably 30 to 40 mm) Etch rate 0.5 to 5 nm/sec. (preferably 0.8 to 2 nm/sec.)

In the above, for other sizes of substrate, the wattage per cm2 calculated from the above can be applied.

In some embodiments, dry etching of the SiN film (etchback process) is conducted under the conditions shown in Table 4 below.

TABLE 4 (numbers are approximate) Conditions for Dry Etching (Etchback) of Silicon Nitride Thickness of SiN film to be 5 to 40 nm (preferably 10 to 20 nm) etched Substrate temperature 20 to 100° C. (preferably 40 to 60° C.) Pressure 3 to 10 Pa (preferably 4 to 8 Pa) Etchant gas CF4, CHF3, C4F8 Flow rate of etchant gas 10 to 100 sccm (preferably 20 to 40 sccm) (continuous) Flow rate of dilution gas 100 to 300 sccm (preferably 150 to (continuous) 250 sccm); He, Ar Secondary etchant gas O2 (10 to 100 sccm, preferably 20 to (continuous) 40 sccm) High RF power 100 to 1000 W (preferably 300 to 500 W) (13.56 or 27.12 MHz) for a 300-mm wafer Duration of RF power 5 to 40 sec. (preferably 10 to 20 sec.) application Distance between 20 to 60 mm (preferably 40 to 45 mm) electrodes Etch rate 0.5 to 5 nm/sec. (preferably 0.8 to 2 nm/sec.)

In the above, for other sizes of substrate, the wattage per cm2 calculated from the above can be applied.

The first layer and the second layer of the pattern-transfer film can be constituted by different compositions or same compositions as long as the difference in film stress between the first and second layers is properly adjusted (e.g., a difference of approximately 150 MPa to approximately 800 MPa, preferably approximately 200 MPa to approximately 600 MPa, depending also on the thickness of each layer wherein a difference in a product of the film stress and the thickness of each layer is considered), and additionally or alternatively, as long as the difference in dry etch rate between the first and second layers is properly adjusted (e.g., a difference of approximately 0.1 nm/sec. to approximately 2 nm/sec., preferably approximately 0.2 nm/sec. to approximately 1.5 nm/sec., depending also on the common etching conditions). For example, the following combinations (the first/second layers) can favorably be selected: SiN/SiO, SiO/SiN, SiO/SiO, and SiN/SiN. When the first (inner) layer is SiN and the second (outer) layer is SiO, SiO is first etched under etching conditions set for SiO, and then SiN is etched under etching conditions set for SiN on a top portion of the mandrel during the etchback step. The etching conditions are switched from those for SiO to those for SiN (i) when a portion of the SiO layer at the top portion of the mandrel becomes thin or is almost exposed, (ii) when a part or most of the SiO layer at the top portion of the mandrel (except for a top portion of the sidewall of the SiO layer) is removed and the SiN film is exposed at the top portion of the mandrel, or (iii) at the timing between (i) and (ii) above. When the dry etch rate of the SiN layer is higher than that of the SiO layer under the etching conditions set for SiN, flattening effect illustrated in FIG. 12 can be achieved.

In some embodiments, dry etching of the core material (ashing or stripping process) is conducted under the conditions shown in Table 5 below.

TABLE 5 (numbers are approximate) Conditions for Dry Etching (Ashing/stripping) of Core Material Substrate temperature 20 to 100° C. (preferably 40 to 60° C.) Pressure 3 to 40 Pa (preferably 8 to 30 Pa) Etchant gas O2 Flow rate of etchant gas 10 to 1000 sccm (preferably 20 to 400 sccm) (continuous) Flow rate of dilution gas 0 to 1000 sccm (preferably 0 to 400 sccm); (continuous) He, Ar High RF power 100 to 2000 W (preferably 300 to 500 W) (13.56 or 27.12 MHz) for a 300-mm wafer Duration of RF power 10 to 60 sec. (preferably 20 to 45 sec.) application Distance between 20 to 60 mm (preferably 40 to 45 mm) electrodes

In the above, for other sizes of substrate, the wattage per cm2 calculated from the above can be applied.

The deposition process, etchback process, and ashing process can be performed in a same reaction chamber or different reaction chambers. When different reaction chambers are used, preferably, the chambers are connected via a wafer-handling chamber with a vacuum robot so that exposure of substrates to air can be avoided, and throughput can be increased.

The process cycle can be performed using any suitable apparatus including an apparatus illustrated in FIG. 1, for example. FIG. 1 is a schematic view of a PEALD apparatus, desirably in conjunction with controls programmed to conduct the sequences described below, usable in some embodiments of the present invention. In this figure, by providing a pair of electrically conductive flat-plate electrodes (capacitively coupled electrodes) 4, 2 in parallel and facing each other in the interior 11 (reaction zone) of a reaction chamber 3, applying HRF power (13.56 MHz or 27 MHz) 20 to one side, and electrically grounding the other side 12, a plasma is excited between the electrodes. A temperature regulator is provided in a lower stage 2 (the lower electrode), and a temperature of a substrate 1 placed thereon is kept constant at a given temperature. The upper electrode 4 serves as a shower plate as well, and reactant gas (and noble gas) and precursor gas are introduced into the reaction chamber 3 through a gas line 21 and a gas line 22, respectively, and through the shower plate 4. Additionally, in the reaction chamber 3, a circular duct 13 with an exhaust line 7 is provided, through which gas in the interior 11 of the reaction chamber 3 is exhausted. Additionally, a dilution gas is introduced into the reaction chamber 3 through a gas line 23. Further, a transfer chamber 5 disposed below the reaction chamber 3 is provided with a seal gas line 24 to introduce seal gas into the interior 11 of the reaction chamber 3 via the interior 16 (transfer zone) of the transfer chamber 5 wherein a separation plate 14 for separating the reaction zone and the transfer zone is provided (a gate valve through which a wafer is transferred into or from the transfer chamber 5 is omitted from this figure). The transfer chamber is also provided with an exhaust line 6. In some embodiments, the deposition of multi-element film and surface treatment are performed in the same reaction space, so that all the steps can continuously be conducted without exposing the substrate to air or other oxygen-containing atmosphere. In some embodiments, a remote plasma unit can be used for exciting a gas. In some embodiments, the system of switching flow of an inactive gas and flow of a precursor gas can be used to introduce the precursor gas in pulses without substantially fluctuating pressure of the reaction chamber.

In some embodiments, a dual-chamber reactor (two sections or compartments for processing wafers disposed close to each other) can be used, wherein a reactant gas and a noble gas can be supplied through a shared line whereas a precursor gas is supplied through unshared lines.

A skilled artisan will appreciate that the apparatus includes one or more controller(s) (not shown) programmed or otherwise configured to cause the deposition and reactor cleaning processes described elsewhere herein to be conducted. The controller(s) are communicated with the various power sources, heating systems, pumps, robotics, and gas flow controllers or valves of the reactor, as will be appreciated by the skilled artisan.

The present invention is further explained with reference to working examples below. However, the examples are not intended to limit the present invention. In the examples where conditions and/or structures are not specified, the skilled artisan in the art can readily provide such conditions and/or structures, in view of the present disclosure, as a matter of routine experimentation. Also, the numbers applied in the specific examples can be modified by a range of at least ±50% in some embodiments, and the numbers are approximate.

EXAMPLES Reference Example 1

SiO films and SiN films were each deposited on a Si substrate (Φ300 mm) by PEALD, one cycle of which was conducted under the conditions shown in Tables 6 and 7 (deposition cycle) below using the PEALD apparatus illustrated in FIG. 1 based on the process sequence illustrated in FIGS. 16 and 17.

After taking out each substrate from the reaction chamber, film stress of each film was measured. The results are shown in Table 8 below. The film stress was measured based on a “warp” of the substrate before and after the film was deposited on the substrate, wherein the “warp” was expressed by a radius of curvature, and the film stress was calculated using the Stoney equation.

TABLE 6 (numbers are approximate) Conditions for Deposition Cycle of Silicon Oxide Film Cycle A Substrate temperature 100° C. Pressure 400 Pa Precursor BDEAS (bisdiethylaminosilane) Precursor pulse (“Feed 1”) 0.8 sec Precursor purge (“Purge 1”) 2.0 sec Flow rate of oxygen (continuous) 2 slm Flow rate of Ar (continuous) 2 slm RF power (13.56 MHz) for a 300-mm wafer 50 W RF power pulse (“RF 1”) 0.2 sec Purge (“Purge 2”) 0.1 sec Growth rate per cycle (on top surface) 0.15 nm/cycle Distance between electrodes 12.0 mm Cycle B Substrate temperature 100° C. Pressure 400 Pa Precursor BDEAS (bisdiethylaminosilane) Precursor pulse (“Feed 2”) 0.8 sec Precursor purge (“Purge 3”) 2.0 sec Flow rate of Ar (continuous) 4 slm RF power (13.56 MHz) for a 300-mm wafer 300 W RF power pulse (“RF 2”) 0.2 sec Purge (“Purge 4”) 0.5 sec Growth rate per cycle (on top surface) 0.0 nm/cycle Distance between electrodes 12.0 mm Step coverage (side/top) 95% Cycle ratio (n = cycle B/cycle A) See Table 8

TABLE 7 (numbers are approximate) Conditions for Deposition Cycle of Silicon Nitride Film Substrate temperature 180° C. Pressure 350 Pa Precursor H2SiI2 Precursor pulse (“Feed”) 0.3 sec Precursor purge (“Purge 1”) 0.5 sec Flow rate of N2 (continuous) 4600 sccm RF power (13.56 MHz) for a 300-mm wafer 248 W RF power pulse (“RF”) See Table 8 Purge (“Purge 2”) 0.1 sec Growth rate per cycle (on top surface) 0.017 nm/cycle Step coverage (side/top) 95% Distance between electrodes 12 mm

TABLE 8 (numbers are approximate) Film Condition Stress (7 days after deposition) SiO Cycle ratio: n = 0 −10.7 n = 2 −167.4 n = 3 −169.3 n = 5 −167.1 SiN RF power pulse: 2 s −458.2 3.3 s −647.3   5 s −760.1

Table 8 shows a stress measured 7 days after deposition. However, the stress immediately after deposition was substantially the same as that 7 days after deposition, although the stress gradually changed slightly with time. The gradual change was insignificant and may have been caused by film's absorption of moisture. As shown in Table 8, as for the SiO film, by adding cycle B, the film stress became more compressive, and as for the SiN film, by prolonging the duration of RF power pulse, the film stress became more compressive.

Comparative Example 1

A template was prepared by forming a mandrel pattern (made of amorphous carbon) using a patterned SiARC (Silicon-containing antireflection coating) on a Si substrate (Φ300 mm), wherein the patterned mandrel had a height of 90 nm, a width of 30 nm, and a pitch of 115 nm. On the template, a SiO film having a thickness of 35 nm as a single-layer pattern transfer film was deposited at a conformality of 95% by PEALD under the conditions corresponding to those for SiO (n=0) (having a film stress of −10.7) described in Reference Example 1. (a) in FIG. 8 shows a STEM photograph of a cross-sectional view of the SiO single-layer film.

Next, the SiO single-layer film was subjected to an etchback process under the conditions shown in Table 9 below, followed by an ashing process under the conditions shown in Table 10 below, in the same chamber as in the deposition process, so as to form spacers. Note that the etchback process shown in Table 9 also etched the SiARC film.

TABLE 9 (numbers are approximate) Conditions for Dry Etching (Etchback) of Silicon Oxide Substrate temperature 60° C. Pressure 4 Pa Etchant gas CF4 Flow rate of etchant gas (continuous) 20 sccm Flow rate of dilution gas (continuous) 180 sccm; Ar (CF4/Ar = 1/9) High RF power (27.12 MHz) 300 W Low RF power (400 kHz) 300 W Duration of RF power application 32 sec. Distance between electrodes 42 mm Etch rate 1.4 nm/sec.

TABLE 10 (numbers are approximate) Conditions for Dry Etching (Ashing/stripping) of Core Material Substrate temperature 60° C. Pressure 8 Pa Etchant gas O2 Flow rate of etchant gas (continuous) 20 sccm Flow rate of dilution gas (continuous) 180 sccm; Ar (e.g., O2/Ar = 1/9) High RF power (27.12 MHz) 300 W Duration of RF power application 45 sec. Distance between electrodes 42 mm

(c) in FIG. 8 shows a STEM photograph of a cross-sectional view of the spacers made from the SiO single-layer film. As shown in (c) in FIG. 8, the spacers leaned toward the vacant space through the core removal, i.e., the ashing process. The leaning angle of the spacers was measured as approximately 2.2 degrees.

Comparative Example 2

A template was prepared and a SiO film was deposited thereon in a manner substantially similar to that in Comparative Example 1 except that the SiO film having a thickness of 35 nm as a single-layer pattern transfer film was deposited at a conformality of 90% by PEALD under the conditions corresponding to those for SiO (n=3) (having a film stress of −169.3) described in Reference Example 1. (b) in FIG. 8 shows a STEM photograph of a cross-sectional view of the SiO single-layer film.

Next, the SiO single-layer film and SiARC film were subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. (d) in FIG. 8 shows a STEM photograph of a cross-sectional view of the spacers made from the SiO single-layer film. As shown in (d) in FIG. 8, the spacers leaned toward the vacant space through the core removal, i.e., the ashing process. The leaning angle of the spacers was measured as approximately 2.9 degrees.

Example 1

A template was prepared and a SiO film was deposited in a manner substantially similar to that in Comparative Example 1 or 2 except that the SiO film was deposited as a SiO two-layer pattern-transfer film which was constituted by an inner SiO single-layer film having a thickness of 20 nm deposited in a manner substantially similar to that in Comparative Example 1 (SiO (n=0)), and an outer SiO single-layer film having a thickness of 15 nm deposited in a manner substantially similar to that in Comparative Example 2 (SiO (n=3)). The SiO two-layer pattern-transfer film had a conformality of 90%. (c) in FIG. 9 shows a STEM photograph of a cross-sectional view of the SiO two-layer film. That is, the inner layer having a thickness of 20 nm was the SiO single-layer film having a stress of −10.7 MPa (relatively tensile), whereas the outer layer having a thickness of 15 nm was the SiO single-layer film having a stress of −169.3 MPa (relatively compressive).

Next, the SiO two-layer film and SiARC film were subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. (d) in FIG. 9 shows a STEM photograph of a cross-sectional view of the spacers made from the SiO two-layer film. As shown in (d) in FIG. 9, the spacers leaned more toward the vacant space through the core removal, i.e., the ashing process, than either of the spacers in Comparative Example 1 (θ=2.2°) shown in (a) in FIG. 9 or the spacers in Comparative Example 2 shown (θ=2.9°) in (b) of FIG. 9. The leaning angle of the spacers was measured as approximately 6.5 degrees. This synergistic effect is summarized as follows:

2.9° (outer)+2.2° (inner)→6.5° (total)

Example 2

A template was prepared and a SiO film was deposited in a manner substantially similar to that in Example 1 except that the inner layer and the outer layer were switched. That is, the SiO film was deposited as a SiO two-layer pattern-transfer film which was constituted by an inner SiO single-layer film having a thickness of 20 nm deposited in a manner substantially similar to that in Comparative Example 2 (SiO (n=3)), and an outer SiO single-layer film having a thickness of 15 nm deposited in a manner substantially similar to that in Comparative Example 1 (SiO (n=0)). The SiO two-layer pattern-transfer film had a conformality of 95%. (e) in FIG. 9 shows a STEM photograph of a cross-sectional view of the SiO two-layer film. That is, the inner layer having a thickness of 20 nm was the SiO single-layer film having a stress of −169.3 MPa (relatively compressive), whereas the outer layer having a thickness of 15 nm was the SiO single-layer film having a stress of −10.7 MPa (relatively tensile).

Next, the SiO two-layer film and SiARC film were subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. (f) in FIG. 9 shows a STEM photograph of a cross-sectional view of the spacers made from the SiO two-layer film. As shown in (f) in FIG. 9, the spacers leaned less toward the vacant space via the core removal, i.e., the ashing process, than either of the spacers in Comparative Example 1 (θ=2.2°) shown in (a) in FIG. 9 or the spacers in Comparative Example 2 shown (θ=2.9°) in (b) of FIG. 9. The leaning angle of the spacers was measured as approximately 0.8 degrees. This converse effect is summarized as follows:

2.2° (outer)+2.9° (inner)→0.8° (total)

As described above in Examples 1 and 2, by manipulating the film stresses of the inner and outer layers, spacers having a desired leaning angle can be formed.

Example 3

A template was prepared and a SiO film was deposited in a manner substantially similar to that in Example 1 except that the inner layer was deposited in a manner substantially similar to that for SiO (n=2) in Table 8 in Reference Example 1, in place of that for SiO (n=3) in Example 1.

Next, the two-layer film was used as a pattern-transfer film and subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. A STEM photograph of a cross-sectional view of the spacers made from the two-layer pattern-transfer film was obtained, and the leaning angle of the spacers of each example was measured. FIG. 10 is a graph showing the relationship between the leaning angle and difference in film stress between the outer layer and inner layer of the two-layer pattern-transfer film.

Examples 4 and 5

In Example 4, a template was prepared, a SiN film having a thickness of 20 nm was deposited as an inner layer of a two-layer film in a manner substantially similar to that for SiN (RF=3.3 s) in Table 8 in Reference Example 1, and a SiO film having a thickness of 15 nm was deposited as an outer layer of the two-layer film in a manner substantially similar to that for SiO (n=0) in Table 8 in Reference Example 1. The resultant two-layer pattern transfer film had a conformality of 100%.

In Example 5, a template was prepared and a two-layer film was deposited (a conformality of 90%) in a manner substantially similar to that in Example 4 except that the SiN thickness was 25 nm and the SiO thickness was 10 nm.

Next, each of the two-layer films obtained in Examples 4 and 5 was used as a pattern-transfer film and subjected to an etchback process under the same conditions as in Comparative Example 1 for etching the outer SiO layer except that the duration of RF power application was 14 seconds for Example 4 and 10 seconds for Example 5, until a surface of the inner SiN layer was almost exposed. Thereafter, the etching conditions were changed for etching the inner SiN layer as shown in Table 11 below.

TABLE 11 (numbers are approximate) Conditions for Dry Etching (Etchback) of Silicon Nitride Thickness of SiN film to be etched 20 nm Substrate temperature 60° C. Pressure 8 Pa Etchant gas CHF3 Flow rate of etchant gas (continuous) 20 sccm Flow rate of dilution gas (continuous) 360 sccm; Ar Secondary etchant gas (continuous) O2 (20 sccm) High RF power (27.12 MHz) 300 W Duration of RF power application 18 sec. for Example 4 and 19 sec for Example 5 Distance between electrodes 42 mm Etch rate 1.1 nm/sec.

Thereafter, an etching process of the SiARC layer was conducted under the conditions shown in Table 9 except that the duration of RF power application was 9 sec. Thereafter, an ashing process was conducted under the same conditions as in Comparative Example 1 so as to form spacers. A STEM photograph of a cross-sectional view of the spacers made from each two-layer pattern-transfer film was obtained, and the leaning angle of the spacers of each example was measured. FIG. 10 is a graph showing the relationship between the leaning angle and difference in film stress between the outer layer and inner layer of each two-layer pattern-transfer film.

Comparative Example 3

A template was prepared and a SiO single-layer pattern-transfer film was deposited in a manner substantially similar to that in Comparative Example 1 (n=0) except that n was 2.

Next, the single-layer film was used as a pattern-transfer film and subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. A STEM photograph of a cross-sectional view of the spacers made from the single-layer pattern-transfer film was obtained, and the leaning angle of the spacers was measured. FIG. 10 is a graph showing the leaning angle of the single-layer pattern-transfer film (“C. Ex. 3”) where the difference between an inner half and an outer half of the film was presumed as zero.

Comparative Example 4

A template was prepared and a SiN single-layer pattern-transfer film was deposited in a manner substantially similar to that for SiN in Reference Example 1 (RF=3.3 s).

Next, the single-layer film was used as a pattern-transfer film and subjected to an etchback process under the same conditions as in Comparative Example 1, followed by an ashing process under the same conditions as in Comparative Example 1 so as to form spacers. A STEM photograph of a cross-sectional view of the spacers made from the single-layer pattern-transfer film was obtained, and the leaning angle of the spacers was measured. FIG. 10 is a graph showing the leaning angle of the single-layer pattern-transfer film (“C. Ex. 4”) where the difference between an inner half and an outer half of the film was presumed to be zero.

As shown in FIG. 10 (which also plots the results of Examples 1 and 2 and Comparative Examples 1 and 2), the greater the difference in film stress in a negative direction, the greater the leaning angle of the spacers becomes in a positive direction (leaning inward), whereas the greater the difference in film stress in a positive direction, the greater the leaning angle of the spacers becomes in a negative direction (leaning outward). Accordingly, by manipulating the film stresses of the inner and outer layers, spacers having a desired leaning angle (e.g., a range of ±2° or ±1°) can be formed.

Example 6

A template was prepared and a SiN/SiO two-layer film was formed in a manner substantially similar to that in Example 4. The resultant two-layer film had a conformality of 100%.

Next, the two-layer film was used as a pattern-transfer film and subjected to an etchback process, followed by an ashing process in a manner substantially similar to those in Example 4 so as to form spacers. Under the etching conditions shown in Table 11, the dry etch rate of the SiO layer was 0.4 nm/sec. whereas that of the SiN layer was 1.5 nm/sec. FIG. 11 shows STEM photographs of cross-sectional views annotated to show “shoulder loss” (a circled portion), wherein (a) shows the spacers formed in Comparative Example 1, and (b) shows the spacers formed in Example 6. The shoulder loss can be evaluated based on a height H1 of the inclined slope of a spacer 104, which was 40 nm in (a) of FIG. 11, whereas a height H2 of the inclined slope of a spacer 108 (constituted by an inner SiN layer 108a and an outer SiO layer 108b) was 5 nm. As shown in FIG. 11, by using the two-layer pattern-transfer film having a difference in dry etch rate between the inner and outer layers, the top of the spacer became substantially flat. The theory of the flattening mechanism was considered to be that illustrated in FIG. 12 described earlier.

Example 7

In this example, the flattening mechanism illustrated in FIG. 12 was confirmed. FIG. 13 shows STEM photographs of cross-sectional views showing steps of forming spacers having a flat top from a two-layer film in steps (a) to (f). In (a), a template was prepared and a SiN/SiO two-layer film was formed in a manner substantially similar to that in Example 5. In (b), the outer SiO layer was etched and the inner SiN layer was about to be exposed. After the inner SiN layer was almost exposed (e.g., immediately after (b)), the etching conditions were switched from those for SiO (Table 9) to those for SiN (Table 11). While the dry etching by ion bombardment progressed, the top portions of the outer and inner layers were removed in (c), and due to the difference in dry etch rate (DER) between the inner and outer layers (DER of the inner layer was higher than that of the outer layer under the etching conditions), the top of the outer layer became pointed as shown in a circle in (c). Ions were reflected on an exposed surface of the inner layer and bombarded the pointed portion of the outer layer, thereby removing the tip of the outer layer and flattening the top of the outer layer as shown in a circle in (d), while the exposed surface of the inner layer was etched. In (d), the top of the inner layer was also flattened. This may be because an inner portion of the inner layer was more damaged than an outer portion of the inner layer due to accumulation of plasma bombardment while the inner layer was deposited (the inner portion was exposed to a plasma for a longer time than was the outer portion). Thereafter, the ashing process was conducted to remove a core material 101 as shown in (e). The final profile of the resultant spacers is shown in (f). In this example, the leaning angle of the spacers was 1.5 degrees. As described above, by manipulating a difference in dry etch rate between the inner and outer layers, the top of spacers can be made substantially flat, and further, by manipulating film stresses of the inner and outer layers, spacers having a desired leaning angle can be formed. These spacers are highly useful and advantageous for SDDP or SADP, and any other semiconductor fabrication processes.

It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

Claims

1. (canceled)

2. The method according to claim 14, wherein the conformal pattern-transfer film is constituted by multiple layers.

3. The method according to claim 13, wherein the second leaning angle is in a range of approximately −1° to approximately 1°.

4. The method according to claim 13, wherein the multiple layers are deposited by plasma-enhanced atomic layer deposition (PEALD).

5. The method according to claim 2, wherein the multiple layers are constituted by a first layer and a second layer deposited on the first layer, wherein the first layer has a film stress which is more compressive than a film stress of the second layer, and the first layer has a thickness which is 25% to 75% of a total thickness of the first and second layers.

6. The method according to claim 2, wherein the multiple layers are constituted by a first layer and a second layer deposited on the first layer, wherein the first layer has a film stress which is more compressive than a film stress of the second layer, and the thickness of the first layer is equal to or greater than the thickness of the second layer.

7. The method according to claim 13, wherein a difference between the film stress of the first layer and the film stress of the second layer is between approximately 150 MPa and approximately 800 MPa.

8. The method according to claim 13, wherein the first and second layers are independently constituted by silicon oxide or silicon nitride.

9. The method according to claim 8, wherein the first layer is constituted by silicon nitride and the second layer is silicon oxide.

10. The method according to claim 8, wherein the first and second layers are constituted by silicon oxide.

11. The method according to claim 13, wherein the first and second layers are constituted by different compositions.

12. The method according to claim 5, wherein the first layer has less resistance to dry etching than does the second layer.

13. A method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, which spacers lean inwardly toward the vacant space at a first leaning angle which is defined as an angle of an inner face of each sidewall portion as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward,

wherein the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film having different film stresses in a depth direction wherein a lower half of the conformal pattern-transfer film has a first film stress and an upper half of the conformal pattern-transfer film has a second film stress, wherein the first film stress is more compressive than the second film stress, whereby in step (iii), the spacers lean inwardly toward the vacant space at a second leaning angle which is less than the first leaning angle, wherein: the conformal pattern-transfer film is constituted by a first layer and a second layer deposited on the first layer, wherein the first layer has a film stress which is more compressive than a film stress of the second layer, and the first layer has a thickness which is 25% to 75% of a total thickness of the first and second layers, the first layer has less resistance to dry etching than does the second layer, and a difference in resistance to dry etching between the first layer and the second layer is such that in step (ii), a top of each spacer becomes substantially flat by inhibiting shoulder loss of the spacer by dry etching.

14. A method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, which spacers lean inwardly toward the vacant space at a first leaning angle which is defined as an angle of an inner face of each sidewall portion as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward,

wherein the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film having different film stresses in a depth direction wherein a lower half of the conformal pattern-transfer film has a first film stress and an upper half of the conformal pattern-transfer film has a second film stress, wherein the first film stress is more compressive than the second film stress, whereby in step (iii), the spacers lean inwardly toward the vacant space at a second leaning angle which is less than the first leaning angle, wherein the conformal pattern-transfer film has film stress varying in the depth direction, wherein the film stress gradually increases in an outward direction.

15. (canceled)

16. (canceled)

17. (canceled)

18. A method of forming vertical spacers for spacer-defined multiple patterning, comprising:

(i) providing a template having a surface patterned by a mandrel formed on an underlying layer in a reaction space;
(ii) depositing a first conformal pattern-transfer film having a first film stress, and continuously depositing a second conformal pattern-transfer film having a second film stress on the entire patterned surface of the template, wherein the first and second film stresses are different;
(iii) dry-etching the template whose entire upper surface is covered with the first and second pattern-transfer films, and thereby selectively removing a portion of the first and second pattern-transfer films formed on a top of the mandrel and a horizontal portion of the first and second pattern-transfer films while leaving the mandrel as a core material and a vertical portion of the first and second pattern-transfer films as vertical spacers; and
(iv) dry-etching the core material, forming a vacant space between the vertical spacers, whereby the template has a surface patterned by the vertical spacers on the underlying layer,
the method further comprises:
(v) measuring a leaning angle of the vertical spacer, which is defined as an angle of an inner face of the vertical spacer facing the vacant space as measured with reference to a line vertical to a bottom of the vacant space wherein a leaning angle of zero represents completely vertical and a leaning angle of a positive value represents leaning inward, followed by judging whether the leaning angle is within a target range;
(vi) conducting again steps (i) to (iv): (a) without changes to form final vertical spacers if the leaning angle is within the preset range; (b) with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having higher tensile stress than the second film stress by a measurable degree is deposited, if the leaning angle is greater than the preset range; or (c) with changes wherein, as the first conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower compressive stress than the first film stress by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film in step (ii), a conformal pattern-transfer film having lower tensile stress than the second film stress by a measurable degree is deposited, if the leaning angle is smaller than the preset range; and
(vii) repeating steps (v) and (vi) after increasing the measurable degree used in (b) or (c), if (b) or (c) in step (vi) is conducted,
wherein the first conformal pattern-transfer film has a first resistance to dry etching, and the second conformal pattern-transfer film has a second resistance to dry etching; and
step (v) further comprises judging whether a top of the vertical spacer is substantially flat, wherein if the top of the vertical spacer is not substantially flat;
in step (vi), as the first conformal pattern-transfer film, a first conformal pattern-transfer film which has less resistance to dry etching than the first resistance to dry etching and also than the second conformal pattern-transfer film by a measurable degree is deposited, and/or, as the second conformal pattern-transfer film, a second conformal pattern-transfer film which has higher resistance to dry etching than the second resistance to dry etching and also than the first conformal pattern-transfer film by a measurable degree is deposited; and
in step (vii), steps (v) and (vi) are repeated after increasing the measurable degree, until the top of the vertical spacer is substantially flat.

19. A method of forming spacers for spacer-defined patterning in steps comprising (i) depositing a pattern-transfer film on a template having a surface patterned by a mandrel formed on an underlying layer, (ii) dry-etching the template whose entire upper surface is covered with the pattern-transfer film, and thereby selectively removing a top portion of the pattern-transfer film formed on a top of the mandrel and a horizontal portion of the pattern-transfer film formed on the underlying layer while leaving the mandrel as a core material and sidewall portions of the pattern-transfer film formed on sidewalls of the mandrel as spacers, and (iii) dry-etching the core material, forming a vacant space, whereby the template has a surface patterned by the spacers on the underlying layer, wherein a shoulder part of each spacer facing the vacant space, which shoulder part is an outer corner of a top of the spacer, is lost or etched, forming an inclined surface from an outer side to an inner side of the top of the spacer,

wherein the improvement comprises: in step (i), depositing, as the pattern-transfer film, a conformal pattern-transfer film constituted by a two-layer film including a first layer and a second layer deposited on the first layer wherein the first layer has less resistance to dry etching than the does second layer, wherein a difference in resistance to dry etching between the first layer and the second layer is such that in step (iii), the top of each spacer becomes substantially flat by inhibiting shoulder loss of the spacer by dry etching.

20. The method according to claim 19, wherein the first layer is constituted by silicon nitride, and the second layer is constituted by silicon oxide.

Patent History
Publication number: 20190172718
Type: Application
Filed: Dec 5, 2017
Publication Date: Jun 6, 2019
Inventors: Tomohiro Kubota (Tokyo), Yoshio Susa (Tokyo)
Application Number: 15/832,188
Classifications
International Classification: H01L 21/308 (20060101); H01L 21/3213 (20060101); H01L 21/311 (20060101);