PLASMA SPRAY COATING ENHANCEMENT USING PLASMA FLAME HEAT TREATMENT

A method for forming a plasma resistant ceramic coating on an article includes placing the article into a chamber or spray cell of a plasma spraying system. A ceramic powder is then fed into the plasma spraying system at a powder feed rate, and a plasma resistant ceramic coating is deposited onto at least one surface of the article in a plasma spray process by the plasma spray system. The plasma spray system is then used to perform an in-situ plasma flame heat treatment of the plasma resistant ceramic coating to form crust on the plasma resistant ceramic coating.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
RELATED APPLICATIONS

This application is a divisional application of U.S. patent application Ser. No. 14/462,271, filed Aug. 18, 2014, which claims the benefit under 35 U.S.C. § 119(e) of U.S. Provisional Patent Application No. 61/879,549, filed Sep. 18, 2013, both of which are hereby incorporated by reference herein in their entireties.

TECHNICAL FIELD

Embodiments of the present disclosure relate, in general, to ceramic coated articles and to a process for plasma spraying a ceramic coating onto chamber components.

BACKGROUND

In the semiconductor industry, devices are fabricated by a number of manufacturing processes producing structures of an ever-decreasing size. Some manufacturing processes such as plasma etch and plasma clean processes expose a substrate to a high-speed stream of plasma to etch or clean the substrate. The plasma may be highly corrosive, and may corrode processing chambers and other surfaces that are exposed to the plasma. This corrosion may generate particles, which frequently contaminate the substrate that is being processed, contributing to device defects.

As device geometries shrink, susceptibility to defects increases and particle contaminant requirements become more stringent. Accordingly, as device geometries shrink, allowable levels of particle contamination may be reduced. To minimize particle contamination introduced by plasma etch and/or plasma clean processes, chamber materials have been developed that are resistant to plasmas. Different materials provide different material properties, such as plasma resistance, rigidity, flexural strength, thermal shock resistance, and so on. Also, different materials have different material costs. Accordingly, some materials have superior plasma resistance, other materials have lower costs, and still other materials have superior flexural strength and/or thermal shock resistance.

BRIEF DESCRIPTION OF THE DRAWINGS

The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that different references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one.

FIG. 1 depicts a sectional view of one embodiment of a processing chamber.

FIG. 2 illustrates an exemplary architecture of a manufacturing system, in accordance with one embodiment of the present invention.

FIG. 3 depicts schematics of a plasma spray deposition system.

FIG. 4 depicts further schematics of a plasma spray deposition system.

FIG. 5 illustrates one embodiment of a process for forming a plasma sprayed ceramic coating over a chamber component.

FIG. 6 illustrates a cross sectional side view of an article covered by a plasma sprayed protective layer having a crust formed by a plasma flame heat treatment process.

DETAILED DESCRIPTION OF EMBODIMENTS

Embodiments of the disclosure are directed to a process for coating an article with a ceramic coating and to performing a plasma flame heat treatment on the ceramic coating. The processes disclosed herein provide improved plasma resistance performance for chamber components with reduced processing time and lower cost than conventional plasma resistant coatings.

In one embodiment, an article is positioned with reference to a plasma spraying system. Ceramic powder is fed into the plasma spraying system at a powder feed rate, and the plasma spraying system deposits a plasma resistant ceramic coating on at least one surface of the article in a plasma spray process. The plasma spray system then performs an in-situ plasma flame heat treatment of the plasma resistant ceramic coating to form a crust on the plasma resistant ceramic coating. In some instances, the plasma flame heat treatment is superior to laser melting, spark plasma sintering and furnace heat treatments. Each of these other heat treatments are performed by equipment other than the plasma spray system used to deposit the plasma resistant ceramic coating. Accordingly, a lead time is increased for these other heat treatment processes. Additionally, transport of the article to the equipment for the other heat treatment processes increases a risk of contamination. In addition, laser melting may create vertical and horizontal cracks in the ceramic coating. Spark plasma sintering is limited in application to small sample sizes. Furnace heat treatment is not applicable for many types of substrates, such as for some metal substrates, electrostatic chucks, and so on.

By heat treating the plasma sprayed ceramic coating using the plasma flame heat treatment, a surface of the coating is reflowed to form a crust at the surface having reduced porosity and cracks. The heat-treated ceramic coating of the article may be highly resistant to plasma etching, and the article may have superior mechanical properties such as a high flexural strength and a high hardness. Performance properties of the coated ceramic article may include a high thermal capability, a long lifespan, and a low on-wafer particle and metal contamination.

When the terms “about” and “approximately” are used herein, these are intended to mean that the nominal value presented is precise within ±30%. The articles described herein may be structures that are exposed to plasma, such as chamber components for a plasma etcher (also known as a plasma etch reactor). For example, the articles may be walls, bases, gas distribution plates, shower heads, substrate holding frames, electrostatic chucks, rings, lids, nozzles, faceplates, selectivity modulation devices (SMDs) etc. of a plasma etcher, a plasma cleaner, a plasma propulsion system, and so forth.

Moreover, embodiments are described herein with reference to ceramic coated chamber components and other articles that may cause reduced particle contamination when used in a process chamber for plasma rich processes. However, it should be understood that the ceramic coated articles discussed herein may also provide reduced particle contamination when used in process chambers for other processes such as non-plasma etchers, non-plasma cleaners, chemical vapor deposition (CVD) chamber, physical vapor deposition (PVD) chamber, and so forth. Moreover, some embodiments are described with reference to specific plasma resistant ceramics. However, it should be understood that embodiments equally apply to other plasma resistant ceramics than those discussed herein.

FIG. 1 is a sectional view of a processing chamber 100 (e.g., a semiconductor processing chamber) having one or more chamber components that are coated with a ceramic coating in accordance with embodiments of the present invention. The ceramic coating described in embodiments is a plasma-sprayed coating that has been heat treated using a plasma flame heat treatment by a torch of a plasma spraying system. The processing chamber 100 may be used for processes in which a corrosive plasma environment is provided. For example, the processing chamber 100 may be a chamber for a plasma etch reactor (also known as a plasma etcher), a plasma cleaner, and so forth. Examples of chamber components that may include a plasma resistant ceramic coating include a substrate support assembly 148, an electrostatic chuck (ESC) 150, a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, a showerhead, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, a nozzle, process kit rings, a faceplate, an SMD, and so on.

The plasma resistant ceramic coating, which is described in greater detail below, is a rare earth oxide coating deposited by an atmospheric pressure plasma spraying (APPS) process. The plasma resistant ceramic coating may have a crust that has been formed by a plasma flame heat treatment process, in accordance with embodiments. The plasma resistant coating may include Y2O3 and Y2O3 based ceramics, Y3Al5O12 (YAG), Al2O3 (alumina), Y4Al2O9 (YAM), YF3, SiC (silicon carbide) Si3N4 (silicon nitride), SiN (silicon nitride), AlN (aluminum nitride), TiO2 (titania), ZrO2 (zirconia), TiC (titanium carbide), ZrC (zirconium carbide), TiN (titanium nitride), Y2O3 stabilized ZrO2 (YSZ), Er2O3 and Er2O3 based ceramics, Gd2O3 and Gd2O3 based ceramics, Er3Al5O12 (EAG), Gd3Al5O12 (GAG), Nd2O3 and Nd2O3 based ceramics, and/or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2.

The plasma resistant coating may also be based on a solid solution formed by any of the aforementioned ceramics. With reference to the ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2, in one embodiment the ceramic compound includes 62.93 molar ratio (mol %) Y2O3, 23.23 mol % ZrO2 and 13.94 mol % Al2O3. In another embodiment, the ceramic compound can include Y2O3 in a range of 50-75 mol %, ZrO2 in a range of 10-30 mol % and Al2O3 in a range of 10-30 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 40-100 mol %, ZrO2 in a range of 0-60 mol % and Al2O3 in a range of 0-10 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 40-60 mol %, ZrO2 in a range of 30-50 mol % and Al2O3 in a range of 10-20 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 40-50 mol %, ZrO2 in a range of 20-40 mol % and Al2O3 in a range of 20-40 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 70-90 mol %, ZrO2 in a range of 0-20 mol % and Al2O3 in a range of 10-20 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 60-80 mol %, ZrO2 in a range of 0-10 mol % and Al2O3 in a range of 20-40 mol %. In another embodiment, the ceramic compound can include Y2O3 in a range of 40-60 mol %, ZrO2 in a range of 0-20 mol % and Al2O3 in a range of 30-40 mol %. In other embodiments, other distributions may also be used for the ceramic compound.

In one embodiment, an alternative ceramic compound that includes a combination of Y2O3, ZrO2, Er2O3, Gd2O3 and SiO2 is used for the protective layer. In one embodiment, the alternative ceramic compound can include Y2O3 in a range of 40-45 mol %, ZrO2 in a range of 0-10 mol %, Er2O3 in a range of 35-40 mol %, Gd2O3 in a range of 5-10 mol % and SiO2 in a range of 5-15 mol %. In a first example, the alternative ceramic compound includes 40 mol % Y2O3, 5 mol % ZrO2, 35 mol % Er2O3, 5 mol % Gd2O3 and 15 mol % SiO2. In a second example, the alternative ceramic compound includes 45 mol % Y2O3, 5 mol % ZrO2, 35 mol % Er2O3, 10 mol % Gd2O3 and 5 mol % SiO2. In a third example, the alternative ceramic compound includes 40 mol % Y2O3, 5 mol % ZrO2, 40 mol % Er2O3, 7 mol % Gd2O3 and 8 mol % SiO2.

Any of the aforementioned plasma resistant ceramic coatings may include trace amounts of other materials such as ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, or other oxides. The ceramic coating allows for longer working lifetimes due to the plasma resistance of the ceramic coating and decreased on-wafer or substrate contamination. Beneficially, in some embodiments the ceramic coating may be stripped and re-coated without affecting the dimensions of the substrates that are coated.

In one embodiment, the processing chamber 100 includes a chamber body 102 and a lid 130 that enclose an interior volume 106. The lid 130 may have a hole in its center, and a nozzle 132 may be inserted into the hole. The chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material. The chamber body 102 generally includes sidewalls 108 and a bottom 110. Sidewalls 108 and/or bottom 110 may include a plasma resistant ceramic coating.

An outer liner 116 may be disposed adjacent the sidewalls 108 to protect the chamber body 102. The outer liner 116 may be fabricated and/or coated with a plasma resistant ceramic coating. In one embodiment, the outer liner 116 is fabricated from aluminum oxide.

An exhaust port 126 may be defined in the chamber body 102, and may couple the interior volume 106 to a pump system 128. The pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100.

The lid 130 may be supported on the sidewall 108 of the chamber body 102. The lid 130 may be opened to allow access to the interior volume 106 of the processing chamber 100, and may provide a seal for the processing chamber 100 while closed. A gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through the nozzle 132. The lid 130 may be a ceramic such as Al2O3, Y2O3, YAG, SiO2, AlN, SiN, SiC, Si—SiC, or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The nozzle 132 may also be a ceramic, such as any of those ceramics mentioned for the lid. The lid 130 may include a plasma resistant ceramic coating 133. The nozzle 132 may be coated with a plasma resistant ceramic coating 134.

Examples of processing gases that may be used to process substrates in the processing chamber 100 include halogen-containing gases, such as C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 and SiF4, among others, and other gases such as O2, or N2O. Examples of carrier gases include N2, He, Ar, and other gases inert to process gases (e.g., non-reactive gases). A substrate support assembly 148 is disposed in the interior volume 106 of the processing chamber 100 below the lid 130. The substrate support assembly 148 holds a substrate 144 during processing. A ring 146 (e.g., a single ring) may cover a portion of the electrostatic chuck 150, and may protect the covered portion from exposure to plasma during processing. The ring 146 may be silicon or quartz in one embodiment. The ring 146 may include a plasma resistant ceramic coating.

An inner liner 118 may be coated on the periphery of the substrate support assembly 148. The inner liner 118 may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116. In one embodiment, the inner liner 118 may be fabricated from the same materials of the outer liner 116. Additionally, the inner liner 118 may be coated with a plasma resistant ceramic coating.

In one embodiment, the substrate support assembly 148 includes a mounting plate 162 supporting a pedestal 152, and an electrostatic chuck 150. The electrostatic chuck 150 further includes a thermally conductive base 164 and an electrostatic puck 166 bonded to the thermally conductive base by a bond 138, which may be a silicone bond in one embodiment. The mounting plate 162 is coupled to the bottom 110 of the chamber body 102 and includes passages for routing utilities (e.g., fluids, power lines, sensor leads, etc.) to the thermally conductive base 164 and the electrostatic puck 166.

The electrostatic puck 166 may include a plasma resistant ceramic coating. The thermally conductive base 164 and/or electrostatic puck 166 may include one or more optional embedded heating elements 176, embedded thermal isolators 174 and/or conduits 168, 170 to control a lateral temperature profile of the substrate support assembly 148. The conduits 168, 170 may be fluidly coupled to a fluid source 172 that circulates a temperature regulating fluid through the conduits 168, 170. The embedded thermal isolators 174 may be disposed between the conduits 168, 170 in one embodiment. The heating elements 176 are regulated by a heater power source 178. The conduits 168, 170 and heating elements 176 may be utilized to control the temperature of the thermally conductive base 164, thereby heating and/or cooling the electrostatic puck 166 and a substrate (e.g., a wafer) 144 being processed. The temperature of the electrostatic puck 166 and the thermally conductive base 164 may be monitored using a plurality of temperature sensors 190, 192, which may be monitored using a controller 195.

The electrostatic puck 166 may further include multiple gas passages such as grooves, mesas and other surface features that may be formed in an upper surface of the puck 166. The gas passages may be fluidly coupled to a source of a heat transfer (or backside) gas such as He via holes drilled in the puck 166. In operation, the backside gas may be provided at controlled pressure into the gas passages to enhance the heat transfer between the electrostatic puck 166 and the substrate 144.

The electrostatic puck 166 includes at least one clamping electrode 180 controlled by a chucking power source 182. The at least one clamping electrode 180 (or other electrode disposed in the electrostatic puck 166 or thermally conductive base 164) may further be coupled to one or more RF power sources 184, 186 through a matching circuit 188 for maintaining a plasma formed from process and/or other gases within the processing chamber 100. The RF power sources 184, 186 are generally capable of producing RF signal having a frequency from about 50 kHz to about 3 GHz and a power of up to about 10,000 Watts.

FIG. 2 illustrates an exemplary architecture of a manufacturing system 200. The manufacturing system 200 may be a ceramics manufacturing system. In one embodiment, the manufacturing system 200 includes manufacturing machines 201 (also referred to as processing equipment) connected to an equipment automation layer 215. The manufacturing machines 201 may include a bead blaster 202, one or more wet cleaners 203, and/or a plasma spraying system 204. The manufacturing system 200 may further include one or more computing device 220 connected to the equipment automation layer 215. In alternative embodiments, the manufacturing system 200 may include more or fewer components. For example, the manufacturing system 200 may include manually operated (e.g., off-line) manufacturing machines 201 without the equipment automation layer 215 or the computing device 220.

Bead blaster 202 is a machine configured to roughen the surface of articles such as articles. Bead blaster 202 may be a bead blasting cabinet, a hand held bead blaster, or other type of bead blaster. Bead blaster 202 may roughen a substrate by bombarding the substrate with beads or particles. In one embodiment, bead blaster 202 fires ceramic beads or particles at the substrate. The roughness achieved by the bead blaster 202 may be based on a force used to fire the beads, bead materials, bead sizes, distance of the bead blaster from the substrate, processing duration, and so forth. In one embodiment, the bead blaster uses a range of bead sizes to roughen the ceramic article.

In alternative embodiments, other types of surface rougheners than a bead blaster 202 may be used. For example, a motorized abrasive pad may be used to roughen the surface of ceramic substrates. A sander may rotate or vibrate the abrasive pad while the abrasive pad is pressed against a surface of the article. A roughness achieved by the abrasive pad may depend on an applied pressure, on a vibration or rotation rate and/or on a roughness of the abrasive pad.

Wet cleaners 203 are cleaning apparatuses that clean articles (e.g., articles) using a wet clean process. Wet cleaners 203 include wet baths filled with liquids, in which the substrate is immersed to clean the substrate. Wet cleaners 203 may agitate the wet bath using ultrasonic waves during cleaning to improve a cleaning efficacy. This is referred to herein as sonicating the wet bath.

In other embodiments, alternative types of cleaners such as dry cleaners may be used to clean the articles. Dry cleaners may clean articles by applying heat, by applying gas, by applying plasma, and so forth.

Plasma spraying system 204 is a machine configured to plasma spray a ceramic coating to the surface of a substrate. In one embodiment, plasma spraying system 204 is an atmospheric pressure plasma spraying (APPS) system (also referred to as an air plasma spraying (APS) system). Plasma spraying systems are discussed in greater detail with reference to FIGS. 3-4.

The equipment automation layer 215 may interconnect some or all of the manufacturing machines 201 with computing devices 220, with other manufacturing machines, with metrology tools and/or other devices. The equipment automation layer 215 may include a network (e.g., a location area network (LAN)), routers, gateways, servers, data stores, and so on. Manufacturing machines 201 may connect to the equipment automation layer 215 via a SEMI Equipment Communications Standard/Generic Equipment Model (SECS/GEM) interface, via an Ethernet interface, and/or via other interfaces. In one embodiment, the equipment automation layer 215 enables process data (e.g., data collected by manufacturing machines 201 during a process run) to be stored in a data store (not shown). In an alternative embodiment, the computing device 220 connects directly to one or more of the manufacturing machines 201.

In one embodiment, some or all manufacturing machines 201 include a programmable controller that can load, store and execute process recipes. The programmable controller may control temperature settings, gas and/or vacuum settings, time settings, etc. of manufacturing machines 201. The programmable controller may include a main memory (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM), static random access memory (SRAM), etc.), and/or a secondary memory (e.g., a data storage device such as a disk drive). The main memory and/or secondary memory may store instructions for performing heat treatment processes described herein.

The programmable controller may also include a processing device coupled to the main memory and/or secondary memory (e.g., via a bus) to execute the instructions. The processing device may be a general-purpose processing device such as a microprocessor, central processing unit, or the like. The processing device may also be a special-purpose processing device such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. In one embodiment, programmable controller is a programmable logic controller (PLC).

In one embodiment, the manufacturing machines 201 are programmed to execute recipes that will cause the manufacturing machines to roughen a substrate, clean a substrate and/or article, coat a article and/or machine (e.g., grind or polish) a article. In one embodiment, the manufacturing machines 201 are programmed to execute recipes that perform operations of a multi-step process for manufacturing a ceramic coated article, as described with reference to FIG. 5. The computing device 220 may store one or more ceramic coating recipes 225 that can be downloaded to the manufacturing machines 201 to cause the manufacturing machines 201 to manufacture ceramic coated articles in accordance with embodiments of the present disclosure.

FIGS. 3-4 illustrate a plasma spray system 300 for plasma spraying a plasma resistant ceramic coating on a chamber component, or other article used in a corrosive system. The plasma spray system 300 is a type of thermal spray system. In a plasma spray system, an arc is formed between two electrodes through which a gas is flowing. Examples of gas suitable for use in the plasma spray system 300 include, but are not limited to, Argon/Hydrogen or Argon/Helium. As the gas is heated by the arc, the gas expands and is accelerated through a shaped nozzle of a plasma torch 304, creating a high velocity plasma jet 302.

Powder 309 is injected into the plasma jet 302 by a powder delivery system 308. An intense temperature of the plasma jet 302 melts the powder 309 and propels the molten ceramic material towards an article 310. Upon impacting with the article 310, the molten powder flattens, rapidly solidifies, and forms a ceramic coating 312. The molten powder adheres to the article 310. The parameters that affect the thickness, density, and roughness of the ceramic coating 312 include type of powder, powder size distribution, powder feed rate, plasma gas composition, gas flow rate, energy input, pressure, and torch offset distance.

In one embodiment, the plasma spray system 300 is a conventional atmospheric pressure plasma spray (APPS) system that operates at atmospheric pressure to perform an APPS process. An APPS system does not include any vacuum chamber, and may instead include an open chamber or room. APPS systems produce oxide ceramic coatings having a relatively high porosity. For example, APPS systems may produce ceramic coatings with a porosity of 1-5% in some embodiments. An APPS system may produce ceramic coatings having thicknesses of around 20 microns to several millimeters. For APPS, the ceramic coating bonds to the substrate mainly by mechanical bonding. Accordingly, in one embodiment the article 310 is roughened prior to forming the plasma sprayed ceramic coating 312.

In one embodiment, after the ceramic coating 312 is formed, the powder delivery system 308 may stop feeding ceramic powder into the plasma jet 302, as shown in FIG. 4. One or more of the plasma gas composition, gas flow rate, energy input, or torch offset distance may additionally be adjusted at this point. Values used for these parameters in some embodiments are represented below in Table 1. The plasma jet 302 may then be used to perform a plasma flame heat treatment of the ceramic coating 312. This plasma flame heat treatment may melt and reflow a surface of the ceramic coating to form a thin crust on the surface of the ceramic coating 312. The thin crust may have a reduced surface roughness, an increased density, and a reduced porosity. A thickness of the crust may be around 1 micron up to about 40 or 50 microns. In one embodiment, the crust has a thickness of about 20-40 microns. Additionally, the plasma flame heat treatment may reduce or eliminate some of all surface cracks of the ceramic coating. This plasma flame heat treatment may also reduce or eliminate loosely bonded particles on the surface of the ceramic coating, and may reduce or eliminate nodules on the surface of the ceramic coating.

FIG. 5 illustrates one embodiment of a process 500 for forming a plasma sprayed ceramic coating over a chamber component. At block 501, a substrate is prepared for coating. The substrate may be a metal substrate such as aluminum, copper, magnesium, or another metal or a metal alloy. The substrate may also be a ceramic substrate, such as alumina, yttria, or another ceramic or a mixture of ceramics. Preparing the substrate may include shaping the substrate to a desired form, grinding, blasting or roughening the substrate to provide a particular surface roughness and/or cleaning the substrate. In one embodiment, the substrate is roughened. This may activate the surface by increasing the free space energy and may strengthen mechanical bonding of the ceramic coating to the substrate.

At block 502, optimal powder characteristics for plasma spraying a ceramic coating are selected. In one embodiment, an optimal powder type and an optimal powder size distribution are selected for the powder. In one embodiment, an optimized agglomerate powder size distribution is selected where 10% of agglomerate powder (D10) has a size of less than 10 μm, 50% of agglomerate powder (D50) has a size of 10-30 μm and 90% of agglomerate powder (D90) has a size of less than 55 μm.

Raw ceramic powders having specified compositions, purity and particle sizes are selected. The ceramic powder may be formed of any of the rare earth oxides previously discussed. The raw ceramic powders are then mixed. These raw ceramic powders may have a purity of 99.9% or greater in one embodiment. The raw ceramic powders may be mixed using, for example, ball milling. The raw ceramic powders may have a powder size of in the range of between about 100 nm-20 μm. In one embodiment, the raw ceramic powders have a powder size of approximately 5 μm.

After the ceramic powders are mixed, they may be calcinated at a specified calcination time and temperature. In one embodiment, a calcination temperature of approximately 1200-2000° C. (e.g., 1400° C. in one embodiment) and a calcination time of approximately 2-5 hours (e.g., 3 hours in one embodiment) is used. The spray dried granular particle size for the mixed powder may have a size distribution of approximately 30 μm in one embodiment.

At block 504, optimal plasma spray parameters are selected. In one embodiment, optimizing plasma spray parameters includes, but is not limited to, setting a plasma gun power and a composition of spray carrier gas.

Optimizing the powder characteristics and the plasma spray parameters may lead to a coating with a decreased porosity and an increased density. Such a decreased porosity and increased density improves protection of a coated article from corrosive elements such as plasmas. Also, fully melted nodules are less likely to break free of the ceramic coating and contaminate the substrate or wafer causing particle problems.

At block 506, the article is coated according to the selected powder characteristics and plasma spray parameters. Plasma spraying techniques may melt materials (e.g., ceramic powders) and spray the melted materials onto the article using the selected parameters. In one embodiment, the plasma sprayed ceramic coating may have a thickness about 200-650 microns.

The plasma spray process may be performed in multiple spray passes. For each pass, the angle of a plasma spray nozzle may change to maintain a relative angle to a surface that is being sprayed. For example, the plasma spray nozzle may be rotated to maintain an angle of approximately 45 degrees to approximately 90 degrees with the surface of the article being sprayed. Each pass may deposit a thickness of up to approximately 25 μm. The plasma sprayed plasma resistant ceramic coating may have a surface roughness of about 160-300 micro-inches.

At block 508, the plasma spray parameters are adjusted and a powder feed rate is reduced to zero. Accordingly, at block 508 the flow of powder into the plasma jet is stopped. The changes to the plasma spray parameters may include changing a plasma power, a torch offset distance, a gun moving speed, and so on.

TABLE 1 Plasma Spray Input Parameters Heat Coating Treatment Input Parameter Unit Range Range Power of Plasma kW  9-300 35-300 Gun Current A 300-1000 500-1000 Gun Voltage V 30-300 70-300 Powder Feed g/min.  5-200 0 Distance mm 50-200 20-100 Gas Flow Rate L/min. 30-500 50-500

Table 1 illustrates input parameter ranges that may be used for coating the article and for performing a plasma flame heat treatment to form a crust on the coating. The parameters include, but are not limited to, power of plasma, gun current, gun voltage, powder feed rate, gun stand-off distance, and gas flow rate.

At block 510, the plasma spray system is used to perform a plasma flame heat treatment on the plasma resistant ceramic coating according to the adjusted plasma spray parameters. The plasma flame heat treatment may be performed for about 0.5-20 minutes in one embodiment. The plasma flame heat treatment may be performed for about 10-15 minutes in another embodiment. The plasma flame heat treatment may cause a surface of the plasma resistant ceramic coating to melt and reflow, which causes a crust to form on a surface of the plasma resistant ceramic coating. This may reduce or eliminate loose particles on the ceramic coating, and may reduce or eliminate partially melted nodules. Both unmelted particles and partially melted nodules can cause contamination during processing. Additionally, the crust may have a higher density and lower porosity than the rest of the plasma resistant ceramic layer. In one embodiment, the crust has a thickness of between about 1 micron and about 40 microns. In one embodiment, the crust has a thickness of less than 20 microns. The crust may have a surface roughness that is approximately 20-25% lower (smoother) than a surface roughness of the plasma resistant ceramic coating prior to the plasma flame heat treatment. In another embodiment, the crust has a thickness of less than 1 micron. The crust may have a surface roughness of about 100-150 micro-inches in one embodiment.

TABLE 2 Plasma Spray Coating Range Optimized Metric Units Range Partially Melted Surface Nodules %  5%-20% Surface Roughness μ- 160-250 inch HCI Bubble Time hr  >4 Breakdown Voltage V/mil >500

Table 2 illustrates measured coating characteristics using the optimized plasma and powder parameters as described above.

FIG. 6 illustrates a cross sectional side view of an article (e.g., chamber component) covered by plasma resistant ceramic coating having a crust that has been formed by a plasma flame heat treatment process. A body 605 of the article 600 includes a plasma resistant ceramic coating 608 having a crust 610 that has been formed by a plasma flame heat treatment process. The plasma resistant ceramic coating 608 may have a porosity and cracking. The crust formed by the plasma flame heat treatment may have a lower porosity and fewer cracks. Additionally, the crust may have a lower surface roughness, reduced particles and fewer surface nodules as compared to a plasma resistant ceramic coating that has not been heat treated. The plasma resistant ceramic coating 608 may have a thickness of about 100-500 μm and a porosity of about 1-5%. The crust 610 may have a thickness of about 1-50 microns.

Examples of ceramics that may be used to form the plasma resistant ceramic coating 608 include Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2, or any of the other ceramic materials previously identified. Other Er based and/or Gd based plasma resistant rare earth oxides may also be used to form the plasma resistant ceramic coating 608.

The preceding description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide a good understanding of several embodiments of the present disclosure. It will be apparent to one skilled in the art, however, that at least some embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present disclosure. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present disclosure.

Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. In addition, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.”

Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and/or alternating manner.

It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reading and understanding the above description. The scope of the disclosure should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims

1. A chamber component for a plasma etch reactor, the chamber component comprising:

a plasma resistant ceramic coating on at least one surface of a body of the chamber component, wherein the plasma resistant ceramic coating has a porosity of 1-5%, and wherein the plasma resistant ceramic coating consists essentially of a material selected from a group consisting of Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, and Nd2O3, and wherein the plasma resistant ceramic coating does not comprise Y2O3, Y3Al5O12, or YF3; and
a crust on a surface of the plasma resistant ceramic coating, the crust having a thickness of less than about 50 microns and a porosity that is lower than the porosity of the plasma resistant ceramic coating.

2. The chamber component of claim 1, wherein the crust has a surface roughness of about 100-150 micro-inches.

3. The chamber component of claim 1, wherein the plasma resistant ceramic coating consists of Er2O3.

4. The chamber component of claim 1, wherein the plasma resistant ceramic coating consists of Er3Al5O12.

5. The chamber component of claim 1, wherein the body comprises at least one of a metal or a sintered ceramic.

6. The chamber component of claim 1, wherein the plasma resistant ceramic coating comprises at least one of loosely bonded particles, partially melted surface nodules, or surface cracks, and wherein the crust is free of the at least one of the loosely bonded particles, the partially melted surface nodules, or the surface cracks.

7. The chamber component of claim 1, wherein the chamber component is formed by:

depositing the plasma resistant ceramic coating on the at least one surface of the body in a plasma spray process by a plasma spraying system; and
performing an in-situ plasma flame heat treatment of the plasma resistant ceramic coating by the plasma spraying system to form the crust on the plasma resistant ceramic coating.

8. The chamber component of claim 7, the in-situ plasma flame heat treatment having been performed for approximately 0.5-20 minutes.

9. The chamber component of claim 7, wherein performing the in-situ plasma flame heat treatment comprises:

adjusting at least one of a plasma power, a gun moving speed or a gun distance of the plasma spraying system; and
reducing a powder feed rate of ceramic powder to zero.

10. The chamber component of claim 7, wherein the plasma spraying system is an atmospheric pressure plasma spray system.

11. A chamber component for a plasma etch reactor, the chamber component having a plasma resistant ceramic coating on at least one surface, the plasma resistant ceramic coating having been formed by a process comprising:

depositing a plasma resistant ceramic coating on at least one surface of an article in a plasma spray process, wherein the plasma resistant ceramic coating consists essentially of a material selected from a group consisting of Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, and Nd2O3, and wherein the plasma resistant ceramic coating does not comprise Y2O3, Y3Al5O12, or YF3; and
performing an in-situ plasma flame heat treatment of the plasma resistant ceramic coating to form a crust on the plasma resistant ceramic coating.

12. The chamber component of claim 11, wherein depositing the plasma resistant ceramic coating comprises:

feeding a ceramic powder into an atmospheric pressure plasma spraying system at a powder feed rate.

13. The chamber component of claim 12, wherein performing the in-situ plasma flame heat treatment comprises:

adjusting at least one of a plasma power, a gun moving speed or a gun distance of the atmospheric plasma spraying system; and
reducing the powder feed rate of the ceramic powder to zero.

14. The chamber component of claim 11, wherein the plasma resistant ceramic coating has a porosity of 1-5% and a thickness of at least 100 microns, and wherein the crust has a lower porosity than the plasma resistant ceramic coating and a thickness of greater than 0 microns to less than about 50 microns.

15. The chamber component of claim 11, wherein the crust has a surface roughness of about 100-150 micro-inches.

16. The chamber component of claim 11, wherein the plasma resistant ceramic coating consists of Er2O3.

17. The chamber component of claim 11, wherein the plasma resistant ceramic coating consists of Er3Al5O12.

18. The chamber component of claim 11, wherein the article comprises at least one of a metal or a sintered ceramic.

19. The chamber component of claim 11, wherein the plasma resistant ceramic coating comprises at least one of loosely bonded particles, partially melted surface nodules, or surface cracks, and wherein the crust is free of the at least one of the loosely bonded particles, the partially melted surface nodules, or the surface cracks.

20. A chamber component for a plasma etch reactor, the chamber component having a plasma resistant ceramic coating on at least one surface, the plasma resistant ceramic coating having been formed by a process comprising:

feeding a ceramic powder into a plasma spraying system at a powder feed rate of 5-200 grams per minute;
depositing a plasma resistant ceramic coating on a surface of an article in a plasma spray process by the plasma spraying system, wherein the plasma spray process is performed using a plasma power of 9-300 kW, a gun current of 300-1000 Amps, a gun voltage of 30-300 Volts, the powder feed rate of 5-200 grams per minute, a torch offset distance of 50-200 mm and a gas flow rate of 30-500 Liters per minute, wherein the plasma resistant ceramic coating consists essentially of a material selected from a group consisting of Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, and Nd2O3, wherein the plasma resistant ceramic coating does not comprise Y2O3, Y3Al5O12, or YF3, and wherein the plasma resistant ceramic coating has a thickness of about 100 microns to about 650 microns, has a porosity of 1-5%, has a surface roughness of about 160-250 micro-inches, and comprises a plurality of loosely bonded particles, a plurality of partially melted surface nodules, a plurality of surface cracks and a plurality of pores at the surface of the article;
reducing the powder feed rate of the ceramic powder to zero grams per minute; adjusting at least one of the plasma power, a gun moving speed or the torch offset distance of the plasma spraying system; and
performing an in-situ plasma flame heat treatment of the plasma resistant ceramic coating by the plasma spraying system to cause a surface of the plasma resistant ceramic coating to melt and reflow to form a crust on the plasma resistant ceramic coating, wherein the in-situ plasma flame heat treatment is performed using 35-300 kW for the plasma power, 500-1000 Amps for the gun current, 70-300 Volts for the gun voltage, 20-100 mm for the torch offset distance and 50-500 Liters per minute for the gas flow rate, wherein the crust has a thickness of above 0 microns to less than about 50 microns, has a porosity that is less than the porosity of the plasma resistant ceramic coating, has a surface roughness of about 100-150 micro-inches, and lacks at least some of the plurality of loosely bonded particles, at least some of the plurality of partially melted surface nodules, at least some of the plurality of surface cracks and at least some of the plurality of pores at the surface of the article.
Patent History
Publication number: 20200035463
Type: Application
Filed: Oct 7, 2019
Publication Date: Jan 30, 2020
Inventors: Jennifer Y. Sun (Mountain View, CA), Yikai Chen (Santa Clara, CA), Biraja P. Kanungo (San Jose, CA)
Application Number: 16/594,561
Classifications
International Classification: H01J 37/32 (20060101); C23C 4/10 (20060101); C23C 4/18 (20060101); C23C 4/11 (20060101); C23C 4/134 (20060101);