Patents Issued in November 2, 2004
-
Patent number: 6812087Abstract: A method of forming a non-volatile resistance variable device includes forming a patterned mass comprising elemental silver over a substrate. A layer comprising elemental selenium is formed over the substrate and including the patterned mass comprising elemental silver. The substrate is exposed to conditions effective to react only some of the elemental selenium with the elemental silver to form the patterned mass to comprise silver selenide. Unreacted elemental selenium is removed from the substrate. A first conductive electrode is provided in electrical connection with one portion of the patterned mass comprising silver selenide. A germanium selenide comprising material is provided in electrical connection with another portion of the patterned mass comprising silver selenide. A second conductive electrode is provided in electrical connection with the germanium selenide comprising material.Type: GrantFiled: August 6, 2003Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Terry L. Giltom, Kristy A. Campbell, John T. Moore
-
Patent number: 6812088Abstract: This MIM structure provides metal capacitors with high figure of merit Q (Xc/R) and does not require additional masks and metal layers. A copper capacitor bottom metal (CBM) electrode is formed, while concurrently forming the pad contacts and level of copper interconnections by the damascene process. An insulating (Si3N4) metal protect layer is formed on the copper and a capacitor interelectrode dielectric layer is formed. A metal protecting buffer protects the thin interelectrode layer, and openings are etched to pad contacts and interconnecting lines. A TiN/AlCu/TiN metal layer is deposited and patterned to form the capacitor top metal (CTM) electrodes, the next level of interconnections, and to provide a pad protect layer on the copper pad contacts. The thick TiN/AlCu/TiN CTM electrode reduces the capacitor series resistance and improves the capacitor figure of merit Q, while the pad protect layer protects the copper from corrosion.Type: GrantFiled: June 11, 2002Date of Patent: November 2, 2004Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chun-Hon Chen, Ssu-Pin Ma, Ta-Hsun Yeh, Yen-Shih Ho, Kuo-Reay Peng, Heng-Ming Hsu, Kong-Beng Thei, Chi-Wu Chou
-
Patent number: 6812089Abstract: The present invention is related to a method for fabricating a ferroelectric memory device effectively preventing a deformation and lift of a lower electrode caused by a different thermal expansion rate between the lower electrode and a inter layer dielectric film at a succeeding heat treatment process. The method for fabricating a ferroelectric memory device includes: forming a lower electrode on a predetermined surface of a semiconductor substrate; forming a metal oxide layer over a surface of the lower electrode and a surface of the semiconductor substrate; forming an inter layer dielectric film over the metal oxide layer; performing a blanket etching for the inter layer dielectric film and the metal oxide layer; and forming an opening having a predetermined depth.Type: GrantFiled: July 16, 2003Date of Patent: November 2, 2004Assignee: Hynix Semiconductor Inc.Inventors: Eun-Seok Choi, Nam-Kyeong Kim
-
Patent number: 6812090Abstract: The present invention relates to a capacitor of a semiconductor memory cell and a method of manufacturing the same wherein a capacitor includes a first insulation layer having a buried contact hole, formed on a semiconductor substrate, and a buried contact plug filling a portion of the buried contact hole. A diffusion barrier spacer is formed on an inner surface of the buried contact hole above the buried contact plug. A second insulation layer is formed, having a through hole larger than the buried contact hole, for exposing the diffusion barrier spacer and a top surface of the contact plug. A barrier layer is formed on the through hole and a lower electrode is formed on the barrier layer. A dielectric layer is formed on the lower electrode and an upper surface of the second insulation layer and an upper electrode is formed on the dielectric layer.Type: GrantFiled: October 10, 2003Date of Patent: November 2, 2004Assignee: Samsung Electronics Co., Ltd.Inventor: Kong-Soo Lee
-
Patent number: 6812091Abstract: An improved sub 8F2 memory cell is disclosed. The sub 8F2 cell includes a shallow transistor trench in which a buried portion of the transistor occupies.Type: GrantFiled: September 26, 2000Date of Patent: November 2, 2004Assignee: Infineon Technologies AGInventors: Ulrike Gruening, Johann Alsmeier
-
Patent number: 6812092Abstract: A Dynamic Random Access Memory is fabricated in a semiconductor body of a first conductivity type in which there have been formed an array of memory cells which each include a trench capacitor and a vertical Insulated Gate Field Effect Transistor (IGFET). Each IGFET includes first and second output regions of a second opposite conductivity type and a gate which is separated from a surface of the semiconductor body by a gate dielectric layer. A gate electrode connected to the gate is formed using a Damascene process with insulating sidewall spacer regions being formed before the gate electrode is formed. Borderless contacts, which are self aligned, are made to the first output regions of each transistor using a Damascene process.Type: GrantFiled: December 19, 2000Date of Patent: November 2, 2004Assignee: Infineon TechnologiesInventors: Mihel Seitz, Michael Wise, Christian Dubuc
-
Patent number: 6812093Abstract: A method for fabricating a memory cell structure provides for fabricating a capacitor within the memory cell structure within an asymmetric trench within an isolation region adjoining an active region such that a capacitor node layer within the capacitor contacts a sidewall of the active region and is electrically connected to a source/drain region within a field effect transistor device fabricated within the active region. The method also employs when fabricating the memory cell structure a contiguous dielectric layer as a gate dielectric layer within the field effect transistor device and a capacitor dielectric layer within the capacitor. The dynamic random access memory cell structure may be efficiently fabricated as an embedded dynamic random access memory cell structure.Type: GrantFiled: March 28, 2003Date of Patent: November 2, 2004Assignee: Taiwan Semiconductor Manufacturing Co., LtdInventor: Kuo-Chi Tu
-
Patent number: 6812094Abstract: A method for roughening a surface of a semiconductor substrate includes the steps of placing the substrate in a furnace, introducing Oxygen and an inert gas, such as argon or nitrogen, into the furnace, maintaining the oxygen concentration in the furnace below 10%, and annealing the substrate at a temperature between 950° C. and 1200° C. to form mesopores in the surface of the semiconductor substrate.Type: GrantFiled: September 11, 2002Date of Patent: November 2, 2004Assignee: Infineon Technologies AGInventors: Matthias Goldbach, Annalisa Cappellani
-
Patent number: 6812095Abstract: Embodiments in accordance with the present invention provide for forming floating gate transistor structures as well as the structures so formed. An exemplary method provides a substrate encompassing semiconductive material. A first layer is formed over the semiconductive material. At least one pair of spaced shallow trench isolation (STI) structures are formed extending through the first layer and into the semiconductive material, and at least a portion of the first layer between the spaced STI structures is removed effective to form a recess there between. The recess is at least partially filled by forming a conductive floating gate material therein and a control gate is formed operatively over the conductive floating gate material to form the floating gate transistor.Type: GrantFiled: June 4, 2002Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventor: Theodore M. Taylor
-
Patent number: 6812096Abstract: A flash memory device that comprises a self-aligned contact opening and a fabrication method thereof are described. Subsequent to the formation of the control gate of the flash memory device, a spacer is formed over a sidewall of the gate layer in a subsequent process, followed by forming another dielectric layer over the substrate to cover the control gate. Thereafter, the dielectric layer and the dielectric layer underlying the control gate are patterned to form a self-aligned contact opening between two neighboring control gates to expose a bit line in the substrate. A conductive material further fills the self-aligned contact opening.Type: GrantFiled: February 12, 2003Date of Patent: November 2, 2004Assignee: Macronix International Co., Ltd.Inventors: Kuang-Chao Chen, Ling-Wuu Yang, Jui-Lin Lu
-
Patent number: 6812097Abstract: A method is provided for manufacturing a MONOS type non-volatile memory device. The method comprises the following steps: a step of pattering a stopper layer and a first conductive layer; a step of forming an ONO film composed of a first silicon oxide layer, a silicon nitride layer and a second silicon oxide layer above a semiconductor substrate and on both sides of the first conductive layer; a step of forming a second conductive layer above the ONO film 220; a step of anisotropically etching the second conductive layer, and then isotropically etching the same, thereby forming control gates in the form of sidewalls through the ONO films on both side surfaces of the first conductive layer; and a step of patterning the first conductive layer to form a word gate.Type: GrantFiled: February 10, 2003Date of Patent: November 2, 2004Assignee: Seiko Epson CorporationInventor: Takumi Shibata
-
Patent number: 6812098Abstract: A method of manufacturing a non-volatile memory device includes depositing a first layer on a semiconductor substrate, and a portion of the first layer is selectively removed to form a memory array area. A second layer is deposited on the memory array area and on adjacent areas of the semiconductor substrate contacting the memory array area. The second layer has a thickness that is substantially equal over the memory array area and over the adjacent areas. The method further includes forming a screening layer on the second layer on the adjacent areas except for outer peripheral portions thereof adjacent the memory array area. The thickness of the second layer exposed on the memory array area and on the outer peripheral portions of the adjacent areas is reduced so that a resulting thickness is less than a thickness of the first layer.Type: GrantFiled: May 15, 2003Date of Patent: November 2, 2004Assignee: STMicroelectronics S.r.l.Inventors: Luca Pividori, Carmen Calareso
-
Patent number: 6812099Abstract: A method for fabricating a non-volatile memory having a P-type floating gate is described. A tunneling layer is formed on a substrate and then a first patterned polysilicon layer is formed on the tunneling layer. A buried drain is formed in the substrate beside the first polysilicon layer and then an insulating structure is formed on the tunneling layer on the buried drain. Thereafter, a second polysilicon layer is formed on the first polysilicon layer to constitute a floating gate together with the first polysilicon layer. A P-type ion is implanted into the second polysilicon layer and then a dielectric layer and a control gate are sequentially formed on the floating gate. A thermal process is then performed to make the P-type, ion in the second polysilicon layer diffuse into the first polysilicon layer.Type: GrantFiled: May 2, 2002Date of Patent: November 2, 2004Assignee: MACRONIX International Co., Ltd.Inventors: Hung-Sui Lin, Nian-Kai Zous, Tao-Cheng Lu, Kent Kuohua Chang
-
Patent number: 6812100Abstract: A gate oxide and method of fabricating a gate oxide that produces a more reliable and thinner equivalent oxide thickness than conventional SiO2 gate oxides are provided. Gate oxides formed from yttrium, silicon, and oxygen are thermodynamically stable such that the gate oxides formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which inhibits unwanted species migration and unwanted reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit the layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.Type: GrantFiled: March 13, 2002Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Kie Y. Ahn, Leonard Forbes
-
Patent number: 6812101Abstract: A zirconium silicate layer 103 is formed on a silicon substrate 100, a zirconium oxide layer 102 is also formed on the zirconium silicate layer 103, and the zirconium oxide layer 102 is then removed, thereby forming a gate insulating film 104 made of the zirconium silicate layer 103.Type: GrantFiled: January 10, 2003Date of Patent: November 2, 2004Assignee: Matsushita Electric Industrial Co., Ltd.Inventors: Masaru Moriwaki, Masaaki Niwa, Masafumi Kubota
-
Patent number: 6812102Abstract: In a semiconductor device using a silicon carbide substrate (1), the object of the present invention is to provide a method of manufacturing a semiconductor device that is a buried channel region type transistor having hot-carrier resistance, high punch-through resistance and high channel mobility. This is achieved by using a method of manufacturing a buried channel type transistor using a P-type silicon carbide substrate that includes a step of forming a buried channel region, a source region and a drain region, a step of forming a gate insulation layer after the step of forming the buried channel region, source region and drain region, and a step of exposing the gate insulation layer to an atmosphere containing water vapor at a temperature of 500° C. or more after the step of forming the gate insulation layer. The gate insulation layer is formed by a thermal oxidation method using dry oxygen.Type: GrantFiled: December 30, 2003Date of Patent: November 2, 2004Assignees: National Institute of Advanced Industrial Science and Technology, Japan Science and Technology Corporation, Sanyo Electric Co., Ltd.Inventors: Kenji Fukuda, Kazuo Arai, Junji Senzaki, Shinsuke Harada, Ryoji Kosugi, Kazuhiro Adachi, Seiji Suzuki
-
Patent number: 6812103Abstract: The invention provides a technique to fabricate a dielectric plug in a MOSFET. The dielectric plug is fabricated by forming an oxide layer over exposed source and drain regions in the substrate including a gate electrode stack. The formed oxide layer in the source and drain regions are then substantially removed to expose the substrate in the source and drain regions and to leave a portion of the oxide layer under the gate electrode stack to form the dielectric plug and a channel region between the source and drain regions.Type: GrantFiled: June 20, 2002Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Hongmei Wang, Zhongze Wang
-
Patent number: 6812104Abstract: A MIS type semiconductor device comprises a semiconductor layer provided with a recess portion having a side wall with an obtuse angle at least at a portion of the recess portion, a gate electrode formed over a bottom surface of the recess portion, with a gate insulating film interposed, a source region and a drain region formed on sides of the gate electrode with an insulating film interposed, such that boundary planes between the source region and the drain region, on one hand, and the insulating film, on the other hand, are formed in the semiconductor layer at an angle to a surface of the semiconductor layer, and wiring portions for contact with the surface of the semiconductor layer.Type: GrantFiled: September 9, 2002Date of Patent: November 2, 2004Assignee: Kabushiki Kaisha ToshibaInventor: Kazumi Nishinohara
-
Patent number: 6812105Abstract: The inventive method for forming thin channel MOSFETS comprises: providing a structure including at least a substrate having a layer of semiconducting material atop an insulating layer and a gate region formed atop the layer of semiconducting material; forming a conformal oxide film atop the structure; implanting the conformal oxide film; forming a set of spacers atop the conformal oxide film, said set of sidewall spacers are adjacent to the gate region; removing portions of the oxide film, not protected by the set of spacers to expose a region of the semiconducting material; forming raised source/drain regions on the exposed region of the semiconducting material; implanting the raised source/drain regions with a second dopant impurity to form a second dopant impurity region; and annealing a final structure to provide a thin channel MOSFET.Type: GrantFiled: July 16, 2003Date of Patent: November 2, 2004Assignee: International Business Machines CorporationInventors: Omer H. Dokumaci, Bruce B. Doris
-
Patent number: 6812106Abstract: Dopant deactivation of source/drain extensions during silicidation is reduced by forming deep source/drain regions using a disposable dummy gate as a mask, forming metal silicide layers on the deep source/drain regions, removing the dummy gate and then forming the source/drain extensions using laser thermal annealing. Embodiments include angular ion implantation, after removing the dummy gate, to form spaced apart pre-amorphized regions, ion implanting to form source/drain extension implants extending deeper into the substrate than the pre-amorphized regions, and then laser thermal annealing to activate the source/drain extensions having a higher impurity concentration at the main surface of the substrate than deeper into the substrate. Subsequent processing includes forming sidewall spacers, a gate dielectric layer and then the gate electrode.Type: GrantFiled: January 14, 2003Date of Patent: November 2, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Qi Xiang, Robert B. Ogle, Eric N. Paton, Cyrus E. Tabery, Bin Yu
-
Patent number: 6812107Abstract: According to one exemplary embodiment, a method for fabricating a bipolar transistor, such as a heterojunction bipolar transistor (“HBT”), comprises fabricating a first inner spacer and a second inner spacer on a top surface of a base. The method further comprises forming a first outer spacer adjacent to the first inner spacer and a second outer spacer adjacent to the second inner spacer. According to this exemplary embodiment, the method further comprises depositing an emitter between the first and second inner spacers on the top surface of the base. The method may further comprise depositing an intermediate oxide layer on the first and second outer spacers after forming the first and second outer spacers. The method may further comprise depositing an amorphous layer on the intermediate oxide layer. The method may also comprise depositing an antireflective coating layer on the amorphous layer.Type: GrantFiled: February 26, 2003Date of Patent: November 2, 2004Assignee: Newport Fab, LLCInventor: Klaus F. Schuegraf
-
Patent number: 6812108Abstract: A low temperature coefficient resistor(TCRL) has some unrepaired ion implant damage. The damaged portion raises the resistance and renders the resistor less sensitive to operating temperature fluctuations. A polysilicon thin film low temperature coefficient resistor and a method for the resistor's fabrication overcomes the coefficient of resistance problem of the prior art, while at the same time eliminating steps from the BiCMOS fabrication process, optimizing bipolar design tradeoffs, and improving passive device isolation. A low temperature coefficient of resistance resistor (TCRL) is formed on a layer of insulation, typically silicon dioxide or silicon nitride, the layer comprising polysilicon having a relatively high concentration of dopants of one or more species. An annealing process is used for the implanted resistor which is shorter than that for typical prior art implanted resistors, leaving some intentional unannealed damage in the resistor.Type: GrantFiled: March 19, 2003Date of Patent: November 2, 2004Assignee: Intersil CorporationInventors: Donald Hemmenway, Jose Delgado, John Butler, Anthony Rivoli, Michael D. Church, George V. Rouse, Lawrence G. Pearce, George Bajor
-
Patent number: 6812109Abstract: A method for fabricating buried decoupling capacitors in an integrated circuit is disclosed. The method forms decoupling capacitors by creating an opening within a substrate which has fin-like spacers, depositing a dielectric material over the spacers, depositing an electrode material over the dielectric material, depositing an insulative material over the electrode material, and forming integrated circuit components over the insulative material.Type: GrantFiled: February 26, 2003Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Kie Y. Ahn, Leonard Forbes
-
Patent number: 6812110Abstract: The invention includes methods in which metal oxide dielectric materials are deposited over barrier layers. The barrier layers can comprise compositions of metal and one or more of carbon, boron and nitrogen, and the metal oxide of the dielectric material can comprise the same metal as the barrier layer. The dielectric material/barrier layer constructions can be incorporated into capacitors. The capacitors can be used in, for example, DRAM cells, which in turn can be used in electronic systems.Type: GrantFiled: May 9, 2003Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Cem Basceri, F. Daniel Gealy, Gurtej S Sandhu
-
Patent number: 6812111Abstract: In methods for fabricating MOS transistors with notched gate electrodes, a notched gate electrode may be readily fabricated using a damascene process for filling a stair-shaped opening formed in a multi-layered insulation layer. In this manner, the width and a height of the notch region of the gate electrode may be readily adjusted and controlled.Type: GrantFiled: November 12, 2002Date of Patent: November 2, 2004Assignee: Samsung Electronics Co., Ltd.Inventors: Kong-Soo Cheong, Hee-Sung Kang
-
Patent number: 6812112Abstract: An enhanced-surface-area conductive layer compatible with high-dielectric constant materials is created by forming a film or layer having at least two phases, at least one of which is electrically conductive. The film may be formed in any convenient manner, such as by chemical vapor deposition techniques, which may be followed by an anneal to better define and/or crystallize the at least two phases. The film may be formed over an underlying conductive layer. At least one of the at least two phases is selectively removed from the film, such as by an etch process that preferentially etches at least one of the at least two phases so as to leave at least a portion of the electrically conductive phase. Ruthenium and ruthenium oxide, both conductive, may be used for the two or more phases. Iridium and its oxide, rhodium and its oxide, and platinum and platinum-rhodium may also be used. A wet etchant comprising ceric ammonium nitrate and acetic acid may be used.Type: GrantFiled: September 26, 2001Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Cem Basceri, Mark Visokay, Thomas M. Graettinger, Steven D. Cummings
-
Patent number: 6812113Abstract: The device and process include the deposition of polycrystalline germanium in the interconnect spaces between conductive metal elements. The device and process further include the removal of the germanium in order to form air-filled interconnect spaces.Type: GrantFiled: October 4, 1999Date of Patent: November 2, 2004Assignee: STMicroelectronics SAInventors: Jerome Alieu, Christophe Lair, Michel Haond
-
Patent number: 6812114Abstract: A method of fabricating a silicon-on-insulator (SOI) substrate including an ultra-thin top Si-containing layer and at least one patterned buried semi-insulating or insulating region having well defined edges is provided. The method includes a step of implanting first ions into a surface of a Si-containing substrate so as to form a first implant region of the first ions in the Si-containing substrate. Following the implantation of first ions, a first annealing step is performed which forms a buried semi-insulating or insulating region within the Si-containing substrate. Next, second ions that are insoluble in the semi-insulating or insulating region are selectively implanted into portions of the buried semi-insulating or insulating region. After the selective implant step, a second annealing step is performed which recrystallizes the buried semi-insulating or insulating region that includes second ions to the same crystal structure as the original Si-containing substrate.Type: GrantFiled: April 10, 2002Date of Patent: November 2, 2004Assignee: International Business Machines CorporationInventors: Tze-chiang Chen, Devendra K. Sadana
-
Patent number: 6812115Abstract: The filling of sub-0.25 &mgr;m trenches with dielectric material may lead to the formation of a void. Typically, the void may be closed by oxidation. When the trench includes non-oxidizable sidewall portions, insufficient closure may result. Therefore, an oxidizable spacer layer is conformally deposited prior to depositing the bulk dielectric, so that the sidewalls of the trench may be oxidized along the entire depth of the trench, thereby allowing the complete closure of the void.Type: GrantFiled: March 31, 2003Date of Patent: November 2, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Karsten Wieczorek, Stephan Kruegel, Michael Raab
-
Patent number: 6812116Abstract: A method for making a SOI wafer with a strained silicon layer for increased electron and hole mobility is achieved. The method forms a porous silicon layer on a seed wafer. A H2 anneal is used to form a smooth surface on the porous silicon. A strain free (relaxed) epitaxial SixGe1−x layer is deposited and a bonding layer is formed. The seed wafer is then bonded to a handle wafer having an insulator on the surface. A spray etch is used to etch the porous Si layer resulting in a SOI handle wafer having portions of the porous Si layer on the relaxed SixGe1−x. The handle wafer is then annealed in H2 to convert the porous Si to a smooth strained Si layer on the relaxed SiGe layer of the SOI wafer.Type: GrantFiled: December 13, 2002Date of Patent: November 2, 2004Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chien-Chao Huang, Yee-Chia Yeo, Kuo-Nan Yang, Chun-Chieh Lin, Chenming Hu
-
Patent number: 6812117Abstract: The present invention includes a method for creating a reconfigurable nanometer-scale electronic network. One embodiment of the invention is made up of the following steps. The first step entails depositing nanometer-scale electrically conducting islands on an insulating substrate. The next step entails engineering electrically conducting molecules to preferentially attach to the nanometer-scale electrically conducting islands, forming a semi-regular array of current-conducting elements. The next step entails selecting individual nodes for bond breaking by applying electrical currents through two orthogonal molecular filaments, this current heating both the molecules and islands raising the temperature of the current-conducting elements at individual nodes and breaking bonds in accordance with a pre-selected network design. The next step entails repeating the step of selecting individual nodes for bond breaking to produce thereby the nanometer-scale electronic network.Type: GrantFiled: June 30, 2003Date of Patent: November 2, 2004Assignee: The United States of America as represented by the Secretary of the Air ForceInventor: Joseph W. Tringe
-
Patent number: 6812119Abstract: A method of forming fins for a double-gate fin field effect transistor (FinFET) includes forming a second layer of semi-conducting material over a first layer of semi-conducting material and forming double caps in the second layer of semi-conducting material. The method further includes forming spacers adjacent sides of each of the double caps and forming double fins in the first layer of semi-conducting material beneath the double caps. The method also includes thinning the double fins to produce narrow double fins.Type: GrantFiled: July 8, 2003Date of Patent: November 2, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Shibly S. Ahmed, Ming-Ren Lin, Haihong Wang, Bin Yu
-
Patent number: 6812120Abstract: A method of forming a floating gate of a memory cell is provided. A substrate having at least a trench is provided. Next, a tunnel oxide layer is formed on a surface of the trench. Next, a conductive layer is filled in the trench. Next, two-step etching process is carried out to form a first floating gate and a second floating gate having a top corner with sharp edge over the sidewalls of the trench.Type: GrantFiled: February 26, 2004Date of Patent: November 2, 2004Assignee: Powerchip Semiconductor Corp.Inventors: Rex Young, Pin-Yao Wang
-
Patent number: 6812121Abstract: A process for forming a low resistivity titanium silicide layer on the surface of a silicon semiconductor substrate. In the process, an effective amount of a metallic element such as indium, gallium, tin, or lead is implanted or deposited on the surface of the silicon substrate. A titanium layer is deposited on the surface of the silicon substrate, and a rapid thermal annealing of the titanium-coated silicon substrate is performed to form low resistivity titanium silicide. In preferred processes, the metallic element is indium or gallium, and more preferably the metallic element is indium. A semiconductor device that has a titanium silicide layer on the surface of a silicon substrate is also provided.Type: GrantFiled: May 16, 2001Date of Patent: November 2, 2004Assignees: STMicroelectronics S.A., Koninklijke Philips Electronics N.V.Inventors: Eric Gerritsen, Bruno Baylac, Marie-Thérèse Basso
-
Patent number: 6812122Abstract: Method for forming a first one time, voltage programmable logic element in a semiconductor substrate of first conductivity type, forming a first layer beneath a surface of the substrate, the first layer having a second conductivity type. A trench is formed through the surface and passing through the first layer. The trench comprises an interior surface, a dielectric material lining the interior surface and a conductive material filling the lined trench. The first logic element is configured so that a predetermined voltage or higher applied between the conductive material and the first layer causes a breakdown within a region of the trench.Type: GrantFiled: March 12, 2002Date of Patent: November 2, 2004Assignee: International Business Machines CorporationInventors: Claude L. Bertin, Erik L. Hedberg, Russell J. Houghton, Max G. Levy, Rick L. Mohler, William R. Tonti, Wayne M. Trickle
-
Patent number: 6812123Abstract: Certain embodiments of the present invention relate to a semiconductor device that has a pad section having an excellent coherency with an interlayer dielectric layer, and a method for manufacturing the same. A semiconductor device 1000 has a pad layer 30A formed over an interlayer dielectric layer 20. The interlayer dielectric layer 20 includes at least a first silicon oxide layer 20b that is formed by a polycondensation reaction of a silicon compound and hydrogen peroxide, and a second silicon oxide layer 20c formed over the first silicon oxide layer and containing an impurity. The pad section 30A includes a wetting layer 32, an alloy layer 34 and a metal wiring layer 37.Type: GrantFiled: March 27, 2001Date of Patent: November 2, 2004Assignee: Seiko Epson CorporationInventors: Kazuki Matsumoto, Yukio Morozumi, Michio Asahina
-
Patent number: 6812124Abstract: A chip structure with bumps comprising: a chip and at least a bump. The chip has an active surface and at least a bonding pad that is formed on the active surface. The bump is disposed on the bonding pad, and the bump comprises a medium layer, a bump body and a bump body passivation layer. The medium layer whose material includes zinc is disposed on the bonding pad. The bump body whose material includes nickel is disposed on the medium layer. The bump body passivation layer whose material includes gold covers the bump body except for a portion of the bump body that connects to the medium layer.Type: GrantFiled: November 5, 2002Date of Patent: November 2, 2004Assignee: Advanced Semiconductor Engineering, Inc.Inventor: Chao-Fu Weng
-
Patent number: 6812125Abstract: A plastic or resin based substrate panel for use in semiconductor package is described. The substrate includes a matrix of conductive elements (e.g. conductive studs, balls or the like) which are held in place by a molding plastic material. The conductive elements form conductive vias through the substrate and have exposed top and bottom surfaces which serve as contacts or landing that may be used to electrically couple electrical devices to the substrate. The substrate panel may be populated with integrated circuits which in turn are electrically connected to the substrate, encapsulated and singulated to form a plurality of packaged integrated circuits.Type: GrantFiled: January 14, 2003Date of Patent: November 2, 2004Assignee: National Semiconductor CorporationInventor: Shahram Mostafazadeh
-
Patent number: 6812126Abstract: A microelectronic semiconductor interconnect structure barrier and method of deposition provide improved conductive barrier material properties for high-performance device interconnects. The barrier comprises a dopant selected from the group consisting of platinum, palladium, iridium, rhodium, and time. The barrier can comprises a refractory metal selected from the group consisting of tantalum, tungsten titanium, chromium, and cobalt, and can also comprise a third element selected from the group consisting of carbon, oxygen and nitrogen. The dopant and other barrier materials can be deposited by chemical-vapor deposition to achieve good step coverage and a relatively conformal thin film with a good nucleation surface for subsequent metallization such as copper metallization. In one embodiment, the barrier suppresses diffusion of copper into other layers of the device, including the inter-metal dielectric, pre-metal dielectric, and transistor structures.Type: GrantFiled: April 21, 2000Date of Patent: November 2, 2004Assignee: CVC Products, Inc.Inventors: Ajit P. Paranjpe, Mehrdad M. Moslehi, Randhir S. Bubber, Lino A. Velo
-
Patent number: 6812127Abstract: An interlayer dielectric film that surrounds via holes for connecting wirings of a second wiring layer and the wirings of third wiring layer is constituted of a dielectric material having a relatively smaller Young's modulus compared with the Young's modulus of a dielectric material constituting a dielectric film that surrounds wiring grooves in dual damascene wirings, which can improve the heat resistance and electromigration resistance of the dual damascene wirings.Type: GrantFiled: November 16, 2001Date of Patent: November 2, 2004Assignee: Renesas Technology Corp.Inventors: Takayuki Oshima, Hiroshi Miyazaki, Hideo Aoki, Kazutoshi Ohmori
-
Patent number: 6812128Abstract: A step for forming a wiring on a semiconductor substrate, a step for forming a first silicon oxide film on the semiconductor substrate having the wiring, and a step for forming an interlayer insulating film composed of a material bearing a low specific inductive capacity on the first silicon oxide film are sequentially executed to form a multilayered wiring. The interlayer insulating film is formed to have a smaller thickness relative to a step of the first silicon oxide film, so as not to extend beyond the step of the first silicon oxide film.Type: GrantFiled: February 12, 2003Date of Patent: November 2, 2004Assignee: Oki Electric Industry Co., Ltd.Inventor: Motoki Kobayashi
-
Patent number: 6812129Abstract: An apparatus, system and method for fabricating a wafer utilizing a dual damascene process are described. A wafer-in-process, having conductive plugs within a first dielectric layer, a hard mask over the first dielectric layer, vias in a second dielectric layer which overlies the hard mask, and a photoresist material within the vias is further processed by a photolithographic device having transparent portions and radiant energy inhibiting portions. The photolithographic device is registered to the wafer-in-process to prevent radiant energy from being directly transmitted into the photoresist material overlaying the vias. This prevents the exposure of a portion of the photoresist material at a lower portion of the vias, thus protecting the hard mask layer and/or the conductive plugs from damage during a subsequent etching process. The exposed photoresist material is then removed.Type: GrantFiled: August 8, 2003Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventor: Richard D. Holscher
-
Patent number: 6812130Abstract: A method for forming a dual damascene structure for a semiconductor device, in accordance with the present invention, includes providing conductive regions on a first layer, forming an interlevel dielectric layer over the first layer and forming an etch stop layer over the interlevel dielectric layer. The etch stop layer includes a polymer material having a dielectric constant of less than about 3.0. The etch stop layer is patterned to form a via pattern, and a trench dielectric layer is deposited on the etch stop layer and in holes of the via pattern. Trenches are formed in the trench dielectric layer by etching the trench layer in accordance with a trench pattern, and vias are formed in the interlevel dielectric layer by etching through the trenches using the etch stop layer to self-align the trenches to the vias and expose the conductive regions on the first layer.Type: GrantFiled: February 9, 2000Date of Patent: November 2, 2004Assignee: Infineon Technologies AGInventor: Gabriela Brase
-
Patent number: 6812131Abstract: Dual damascene methods of fabricating conducting lines and vias in organic intermetal dielectric layers utilize sacrificial inorganic dielectrics. In one embodiment, a via opening formed in organic intermetal dielectric layers is filled with sacrificial inorganic dielectric. A line opening is formed aligned with the via opening. The sacrificial inorganic dielectric is selectively removed. The via and line openings are filled with conducting material. In a second embodiment, a line opening formed in organic intermetal dielectric layers is filled with sacrificial inorganic dielectric. A via opening is formed aligned with the line opening. The sacrificial inorganic dielectric is selectively removed. The via and line openings are filled with conducting material. The sacrificial inorganic dielectrics protect the organic intermetal dielectric layers, preserving critical dimensions and facilitating photoresist rework.Type: GrantFiled: April 11, 2000Date of Patent: November 2, 2004Assignee: Honeywell International Inc.Inventors: Joseph Travis Kennedy, Henry Chung, Anna George
-
Patent number: 6812132Abstract: Suitable particles may be deposited within an extremely small high-aspect ratio via by flowing the particles in a suspension using supercritical carbon dioxide. The particles may be made up of diblock copolymers or silesquioxane-based materials or oligomers of phobic homopolymers or pre-formed silica-based particles stabilized using diblock copolymers and may include chemical initiators to permit in situ polymerization within the via.Type: GrantFiled: March 21, 2003Date of Patent: November 2, 2004Assignee: Intel CorporationInventors: Vijayakumar S. Ramachandrarao, Robert B. Turkot, Jr.
-
Patent number: 6812133Abstract: The present invention comprises the steps of forming a connection hole in an interlayer insulating film including an organic insulating film; forming an inorganic film covering on an upper surface of the interlayer insulating film and an inner surface of the connection hole; forming an organic film for filling inside the connection hole on an inorganic film; removing the organic film inside the connection hole so as to leave a part of the organic film at a bottom of the connection hole; forming a wiring trench connecting to the connection hole in the interlayer insulating film; removing the organic film inside the connection hole; removing the inorganic film; and forming a trench wiring by filling a conductive material in the wiring trench and inside the connection hole and forming a plug continuing from the trench wiring.Type: GrantFiled: September 4, 2003Date of Patent: November 2, 2004Assignee: Sony CorporationInventor: Koichi Takeuchi
-
Patent number: 6812134Abstract: Provided is a process for forming a barrier film to prevent resist poisoning in a semiconductor device by depositing a second nitrogen-free barrier layer on top of a first barrier layer containing nitrogen. A low-k dielectric layer is formed over the second barrier layer. This technique maintains the low electrical leakage characteristics of the first barrier layer and reduces nitrogen poisoning of a photoresist layer subsequently applied.Type: GrantFiled: June 28, 2001Date of Patent: November 2, 2004Assignee: LSI Logic CorporationInventors: Hong-Qiang Lu, Wei-Jen Hsia, Wilbur G. Catabay
-
Patent number: 6812135Abstract: A method of adhering a silicate layer to dielectric layer comprising the following steps. A structure having an overlying dielectric layer formed thereover is provided. An adhesion promoter layer is formed upon the dielectric layer. The adhesion promoter layer including adhesion promotion molecules. The dielectric layer and the adhesion promoter layer are treated to a low-temperature treatment to bind at least some of the adhesion promotion molecules to the dielectric layer. A silicate layer is formed upon the low-temperature treated adhesion promoter layer. The silicate layer and the low-temperature treated adhesion promoter layer are treated to a high-temperature treatment to bind at least some of the adhesion promotion molecules to the silicate layer whereby the silicate layer is adhered to the dielectric layer.Type: GrantFiled: October 30, 2002Date of Patent: November 2, 2004Assignee: Taiwan Semiconductor Manufacturing Company, LTDInventors: Lain-Jong Li, Shen-Nan Lee
-
Patent number: 6812136Abstract: According to the present invention, when a wiring layer using copper is formed, an interlayer insulation film is formed on a semiconductor substrate having a conductive portion of an element. A contact hole, which is connected to at least the conductive portion, is formed in the interlayer insulation film. A wiring groove is formed in the surface of the interlayer insulation film including a region where the contact hole is formed. A barrier metal having a tungsten carbide film on its surface is formed on the surface of the interlayer insulation film and in the wiring groove and contact hole in contact with the conductive portion. A copper film is then formed on the barrier metal in contact with the tungsten carbide film. After that, the contact hole and wiring groove are completely filled with the copper film by heat treatment. An excess portion is removed from the copper film except in the contact hole and wiring groove thereby to form a copper buried wiring layer.Type: GrantFiled: March 7, 2001Date of Patent: November 2, 2004Assignee: Kabushiki Kaisha ToshibaInventors: Mitsutoshi Koyama, Toshio Shimizu, Takeshi Kubota
-
Patent number: 6812137Abstract: The present invention provides a semiconductive substrate which includes front and back surfaces and a hole which extends through the substrate and between the front and back surfaces. The hole is defined in part by an interior wall portion and forms an outer conductive sheath. Conductive material is formed proximate at least some of the interior wall portion. Subsequently, a layer of dielectric material is formed within the hole, over and radially inwardly of the conductive material. A second conductive material is then formed within the hole over and radially inwardly of the dielectric material layer. The latter conductive material constitutes an inner conductive coaxial line component.Type: GrantFiled: July 18, 2002Date of Patent: November 2, 2004Assignee: Micron Technology, Inc.Inventors: Leonard Forbes, Kie Y. Ahn