Patents Issued in March 27, 2007
-
Patent number: 7195999Abstract: One aspect of this disclosure relates to a method for forming a transistor. According to various method embodiments, a gate dielectric is formed on a substrate, a substitutable structure is formed on the gate dielectric, and source/drain regions for the transistor are formed. A desired gate material is substituted for the substitutable structure to provide the desired gate material on the gate dielectric. Some embodiments use carbon for the substitutable material, and some embodiments use silicon, germanium or silicon-germanium for the substitutable material. Some embodiments form a high-k gate dielectric, such as may be formed by an atomic layer deposition process, an evaporated deposition process, and a metal oxidation process. Other aspects and embodiments are provided herein.Type: GrantFiled: July 7, 2005Date of Patent: March 27, 2007Assignee: Micron Technology, Inc.Inventors: Leonard Forbes, Paul A. Farrar, Kie Y. Ahn
-
Patent number: 7196000Abstract: A semiconductor wafer with semiconductor chips having chip pads and a passivation layer is provided. First and second dielectric layers are sequentially formed on the passivation layer. The first and second dielectric layers form a ball pad area that includes an embossed portion, i.e., having a non-planar surface. A metal wiring layer is formed on the resulting structure including the embossed portion. A third dielectric layer is formed on the metal wiring layer. A portion of the third dielectric layer located on the embossed portion is removed to form a ball pad. A solder ball is formed on the embossed ball pad. With the embossed ball pad, the contact area between the solder balls and the metal wiring layer is increased, thereby improving the connection reliability.Type: GrantFiled: October 21, 2003Date of Patent: March 27, 2007Assignee: Samsung Electronics Co., Ltd.Inventors: Jin-Hyuk Lee, Gu-Sung Kim, Dong-Ho Lee, Dong-Hyeon Jang
-
Patent number: 7196001Abstract: The invention relates to a ball-limiting metallurgy stack for an electrical device that contains at least one copper layer disposed upon a Ti adhesion metal layer. The ball-limiting metallurgy stack resists Sn migration toward the upper metallization of the device.Type: GrantFiled: February 10, 2004Date of Patent: March 27, 2007Assignee: Intel CorporationInventors: Madhav Datta, Dave Emory, Subhash M. Joshi, Susanne Menezes, Doowon Suh
-
Patent number: 7196002Abstract: A method for fabricating dual damascene structures having improved IC performance and reduced RC delay characteristics is provided. In one embodiment, a substrate with an etch stop layer formed thereon is provided. A dielectric layer is formed on the etch stop layer and an anti-reflective coating layer is formed on the dielectric layer. A first patterned photoresist layer having a via hole pattern is formed on the anti-reflective coating layer. The via hole pattern is thereafter etched through the anti-reflective coating layer, the dielectric layer, and the etch stop layer to form a via hole. A sacrificial via fill layer is filled in the via hole. A second patterned photoresist layer having a trench pattern is formed above the sacrificial via fill layer. The trench pattern is etched into the sacrificial via fill layer, the anti-reflective coating layer, and the dielectric layer to form a trench. The sacrificial via fill layer is removed in the via hole.Type: GrantFiled: August 9, 2004Date of Patent: March 27, 2007Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Yi-Nien Su, Yi-Chen Huang, Jyu-Horng Shieh
-
Patent number: 7196003Abstract: A four-layer structured hard mask composed of a SiC film, a first SiO2 film, a SiC film, and a second SiO2 film is formed on a porous silica film as an interlayer insulating film. Then, the second SiO2 film is etched with a resist mask. Subsequently, the SiC film is etched with the second SiO2 film. Thereafter, the first SiO2 film is etched with the SiC film. Subsequently, the SiC film is etched with the SiC film. Then, by etching the porous silica film with the SiC film, a wiring trench is formed. At this time, a selection ratio between the SiC film and the porous silica film is large, so that deformation of the SiC film rarely occurs, which prevents leakage caused by the deformation.Type: GrantFiled: February 10, 2004Date of Patent: March 27, 2007Assignee: Fujitsu LimitedInventor: Yoshihisa Iba
-
Patent number: 7196004Abstract: A method for fabricating a semiconductor device is capable of preventing a hard mask layer of a conductive structure from being damaged during a self-aligned contact etching process. The method includes the steps of: forming a plurality of conductive structures including a conductive layer and a hard mask layer on a substrate; sequentially forming a first nitride layer, an oxide layer, a second nitride layer, and an etch stop layer on the plurality of conductive structures; forming an inter-layer insulation layer on the etch stop layer; and performing a self-aligned contact (SAC) etching process selectively etching the inter-layer insulation layer, the etch stop layer, the second nitride layer and the oxide layer until the SAC etching process is stopped at the first nitride layer to thereby form a contact hole exposing the first nitride layer.Type: GrantFiled: August 23, 2004Date of Patent: March 27, 2007Assignee: Hynix Semiconductor Inc.Inventors: Min-Suk Lee, Sung-Kwon Lee
-
Patent number: 7196005Abstract: A method for creating a hole in a semiconductor wafer includes forming a hard mask over a dielectric layer, the hard mask including a solid portion and a first opening. A patterning layer is provided over the hard mask, the patterning layer including second and third openings. The second opening of the patterning layer aligns with the first opening of the hard mask and the third opening of the patterning layer aligns with the solid portion of the hard mask. The hole is created in the dielectric layer using the second opening of the patterning layer and the first opening of the hard mask.Type: GrantFiled: September 3, 2004Date of Patent: March 27, 2007Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventor: Bang-Ching Ho
-
Patent number: 7196006Abstract: A method of manufacturing a microelectronic device, including performing a first inspection of a device feature during an intermediate stage of manufacture, cleaning the device feature after the first inspection, and performing a second inspection of the device feature after cleaning the device feature.Type: GrantFiled: April 13, 2004Date of Patent: March 27, 2007Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Pey-Yuan Lee, Feng-Liang Lai, Cheng-Kuo Chu, Chi-Shen Lo
-
Patent number: 7196007Abstract: A method of forming (and apparatus for forming) refractory metal nitride layers (including silicon nitride layers), such as a tantalum (silicon) nitride barrier layer, on a substrate by using a vapor deposition process with a refractory metal precursor compound, a disilazane, and an optional silicon precursor compound.Type: GrantFiled: August 30, 2004Date of Patent: March 27, 2007Assignee: Micron Technology, Inc.Inventor: Brian A. Vaartstra
-
Patent number: 7196008Abstract: For fabricating a memory device, spacers are formed to sides of word-line gates. In addition, aluminum oxide is formed as one of a liner layer or a cover layer to the spacers. The aluminum oxide has a chemical composition of Al2O3 for example. Such aluminum oxide may be used as an etch stop layer in a periphery region, a metal silicide block, and a hydrogen block for enhanced performance of the memory device.Type: GrantFiled: March 23, 2005Date of Patent: March 27, 2007Assignee: Spansion LLCInventors: Hidehiko Shiraiwa, Satoshi Torii, Jaeyong Park, Joong Jeon
-
Patent number: 7196009Abstract: A method of fabricating a lapping carrier is provided that includes the steps of defining at least one opening extending through a workpiece that is sized to receive a wafer, and cryogenically tempering the workpiece to produce a lapping carrier. By cryogenically tempering the workpiece, the conversion of the crystalline structure of the workpiece to a martensite crystalline structure is enhanced, thereby improving the hardness of the lapping carrier. A lapping carrier is also provided that has a crystalline structure, of which at least 70% is a martensite crystalline structure. An apparatus for lapping a wafer is further provided that includes a hardened lapping carrier and at least one lapping plate proximate the lapping carrier for lapping wafer(s) disposed within the at least one opening defined by the lapping carrier.Type: GrantFiled: May 9, 2003Date of Patent: March 27, 2007Assignee: SEH America, Inc.Inventors: Brian L. Bex, David K. Chen
-
Patent number: 7196010Abstract: A slurry composition useful for chemical mechanical polishing of the surface of a material layer, e.g., a silicon oxide layer, is disclosed. A first material surface which is exposed to the slurry exhibits hydrophilicity, while a second material layer, e.g., a polysilicon layer, the surface of which is also exposed to the slurry, exhibits hydrophobicity, and accordingly acts as a polishing stopping layer. The slurry composition consists essentially of water, abrasive grains, and a polymer additive having both hydrophilic and hydrophobic functional groups.Type: GrantFiled: August 12, 2003Date of Patent: March 27, 2007Assignee: Samsung Electronics, Co., Ltd.Inventors: Young-rae Park, Jung-yup Kim, Bo-un Yoon, Kwang-bok Kim, Jae-phil Boo, Jong-won Lee, Sang-rok Hah, Kyung-hyun Kim, Chang-ki Hong
-
Patent number: 7196011Abstract: The present invention relates to a polishing apparatus for polishing a workpiece such as a semiconductor wafer to a flat mirror finish, and more particularly to a polishing apparatus having a workpiece transfer robot for transferring a workpiece from one operation to the next. The polishing apparatus according to the present invention comprises a polishing section including a top ring for holding a workpiece to be polished and a turntable having a polishing surface for polishing a surface of the workpiece held by the top ring; a cleaning section including a cleaning device for cleaning the workpiece that has been polished in the polishing section; and a workpiece transfer robot for transferring the workpiece to be polished to the polishing section or for transferring the workpiece that has been polished to the cleaning section.Type: GrantFiled: January 13, 2005Date of Patent: March 27, 2007Assignee: Samsung Electronics Co., Ltd.Inventors: Chan-Woo Cho, Jae-Phil Boo, Myung-Seok Kim, Jong-Muk Kang, Ik-Joo Kim, Jung-Hwan Sung, Ki-Hong Jung, Keon-Sik Seo
-
Patent number: 7196012Abstract: A method and system for improving planarization and uniformity of dielectric layers for providing improved optical efficiency in CCD and CMOS image sensor devices. In various embodiments, a dielectric planarization method for achieving better optical efficiency includes first depositing a first dielectric having an optically transparent property on and around a metal pattern. Optical sensors are formed in or on the substrate in areas between metal features. The metal pattern protects a sensor situated therebetween and thereunder from electromagnetic radiation. After the first dielectric layer is polished using CMP, a slanted or inclined surface is produced but this non-uniformity is eliminated using further planarization processes that produce a uniform total dielectric thickness for the proper functioning of the sensor.Type: GrantFiled: March 16, 2005Date of Patent: March 27, 2007Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Yeou-Lang Hsieh, Chin-Min Lin, Jiann-Jong Wang
-
Patent number: 7196013Abstract: Numerous embodiments of a method and apparatus for a capping layer are disclosed. In one embodiment, a method of forming a capping layer for a semiconductor device comprises forming one or more layers on at least a portion of the top surface of a semiconductor device, substantially planarizing at least one of the one or more layers, annealing at least a portion of the semiconductor device, and removing a substantial portion of the one or more layers, using one or more etching processes.Type: GrantFiled: December 12, 2002Date of Patent: March 27, 2007Assignee: Intel CorporationInventor: Mark Y. Liu
-
Patent number: 7196014Abstract: Novel interconnect structures possessing a OSG or polymeric-based (90 nm and beyond BEOL technologies) in which advanced plasma processing is utilized to reduce post lithographic CD non-uniformity (“line edge roughness”) in semiconductor devices. The novel interconnect structure has enhanced liner and seed conformality and is therefore capable of delivering improved device performance, functionality and reliability.Type: GrantFiled: November 8, 2004Date of Patent: March 27, 2007Assignee: International Business Machines CorporationInventors: Timothy J. Dalton, Ronald A. Della Guardia, Nicholas C. Fuller
-
Patent number: 7196015Abstract: A pattern forming method includes: forming an etching-subject layer on a substrate; forming a Ti layer on the etching-subject layer; forming a TiOx layer by irradiating light on a portion of the Ti layer using a mask; etching the Ti layer to form a TiOx pattern; etching the etching-subject layer using the TiOx pattern as a mask; and removing the TiOx pattern.Type: GrantFiled: September 25, 2003Date of Patent: March 27, 2007Assignee: LG.Philips LCD Co., Ltd.Inventors: Gee-Sung Chae, Gyoo-Chul Jo, Yong-Sup Hwang
-
Patent number: 7196016Abstract: A method for fabricating recording head sliders made from silicon substrates, is described. A Silicon wafer with a SiO2 overcoat is provided, and a layer of material which is resistant to Deep Reactive Ion Etching (DRIE) is deposited on the SiO2 overcoat. A patterned layer of material which is resistant to Reactive Ion Etching (RIE) is deposited on the layer of DRIE-resistant material to form a primary mask. RIE is used through the primary mask to pattern the SiO2 overcoat layer and the layer of DRIE-resistant material. The primary mask is then removing to expose the layer of DRIE-resistant material which has now been patterned to form a secondary mask. DRIE is then used through the secondary mask to cut the Si wafer into pieces. Finally, the secondary mask is removed.Type: GrantFiled: September 29, 2003Date of Patent: March 27, 2007Assignee: Hitachi Global Storage Technologies Netherlands, B.V.Inventors: Nicholas I. Buchan, Timothy C. Reiley
-
Patent number: 7196017Abstract: III-V based compounds are etched to produce smooth sidewalls for electro-optical applications using BCl3 together with chemistries of CH4 and H2 in RIE and/or ICP systems. HI or IBr or some combination of group VII gaseous species (Br, F, I) may be added in accordance with the invention.Type: GrantFiled: October 24, 2003Date of Patent: March 27, 2007Assignee: Avago Technologies Fiber IP (Singapore) Pte. Ltd.Inventors: Laura Wills Mirkarimi, Kai Cheung Chow
-
Patent number: 7196018Abstract: A method of etching a semiconductor substrate is described, the method comprising the steps of applying a paste containing an etchant to the substrate, and carrying out a thermal processing step to etch a part or a layer of the substrate where the paste has been applied. The etchant paste is preferably a caustic etching paste. The etchant paste may be applied selectively to a major surface of the substrate to form a pattern of applied paste. For example, the paste may be applied by a printing method, such as screen-printing. The method may be used to produce solar cells.Type: GrantFiled: June 27, 2003Date of Patent: March 27, 2007Assignee: Interuniversitair Microelektronica Centrum vzwInventors: Jozef Szlufcik, Emmanuel Van Kerschaver, Christophe Allebé
-
Patent number: 7196019Abstract: A method of removing spacers after forming a MOS transistor on a wafer. The MOS transistor comprises a gate disposed on the substrate, spacers disposed on the sidewalls of the gate and a source and a drain region in the substrate beside the spacers. The spacers are removed by performing a wet etching process in the dark such that during the spacer removal process, the source and the drain region in a MOS transistor can be prevented from damages.Type: GrantFiled: December 21, 2004Date of Patent: March 27, 2007Assignee: United Microelectronics Corp.Inventors: Chih-Ning Wu, Charlie C J Lee, Kuan-Yang Liao
-
Patent number: 7196020Abstract: A process for PECVD of selected material films on a substrate comprising the steps of placing a substrate in a PECVD chamber and maintaining the chamber under vacuum pressure while introducing a precursor gas, a reactant gas, and an ionization enhancer agent into the chamber. A plasma is generated from the gases within the chamber. The energy generating the plasma causes the formation of charged species. The resulting charged species of the ionization enhancer agent assists in the formation of chemically reactive species of at least the precursor.Type: GrantFiled: April 3, 2001Date of Patent: March 27, 2007Assignee: Micron Technology, Inc.Inventors: Sujit Sharan, Gurtej S. Sandhu
-
Patent number: 7196021Abstract: A method for forming a silicon oxide layer over a substrate disposed in a high density plasma substrate processing chamber. The method includes flowing a process gas that includes a silicon-containing source, an oxygen-containing source and a fluorine-containing source into the substrate processing chamber and forming a plasma from said process gas. The substrate is heated to a temperature above 450° C. during deposition of said silicon oxide layer and the deposited layer has a fluorine content of less than 1.0 atomic percent.Type: GrantFiled: March 30, 2005Date of Patent: March 27, 2007Assignee: Applied Materials, Inc.Inventors: Zhengquan Tan, Dongqing Li, Walter Zygmunt
-
Patent number: 7196022Abstract: Absorbent products and wet wipes are disclosed that are useful in reducing the amount of ammonia produced by bacteria on or near the skin or in bodily fluids such as urine. The products described herein contain an osmoregulation protector which when introduced into a bacteria-containing environment interacts with the bacteria and reduces the amount of ammonia produced by the bacteria without significantly affecting the growth rate of the bacteria. The osmoregulation protectors described herein are particularly useful in combination with adult incontinence garments where the control of odors from bacterial by-products such as ammonia is highly desirable.Type: GrantFiled: December 20, 2001Date of Patent: March 27, 2007Assignee: Kimberly-Clark Worldwide, Inc.Inventors: David William Koenig, Franklin M. C. Chen, Melanie A. Keomany, Jason Robert Borski
-
Patent number: 7196023Abstract: A unique and novel multi-functional composite fabric and fabrication process for use during chemical, biological, radiological and nuclear countermeasure (CBRNC) events is disclosed. The composite fabric combines the performance characteristics of chemically resistant films and radiation attenuating polymer matrices. The composite fabric offers universal protection against a wide range of military chemical agents, toxic industrial chemicals and materials (TICS and TIMS), biological agents, as well as certain ionizing and non-ionizing forms of radiation. The composite fabric is heat sealable and can be fabricated utilizing hermetically seal seams, and has application in protective clothing and equipment, indoor and outdoor covers, containment systems, bags, drapes, gowns, remains pouches, etc.Type: GrantFiled: April 1, 2004Date of Patent: March 27, 2007Assignee: Kappler, Inc.Inventors: John D. Langley, Todd R. Carroll
-
Patent number: 7196024Abstract: A protective drainage wrap comprises a first portion, a second portion and a solid sheet portion. The first portion comprises cross-woven or cross-laminate material in the machine direction and in the transverse direction. The material in the machine direction comprises a polyolefin, polyester, nylon or combinations thereof and has a first thickness. The material in the transverse direction comprises a polyolefin, polyester, nylon or combinations thereof and has a second thickness. The second thickness is at least about 2 times greater than the first thickness so as to assist in providing drainage for moisture build-up. The second portion is a coating comprising a polyolefin, polyester, nylon or combinations thereof. The first and second portions are located adjacent to each other. The solid sheet portion comprises a polyolefin, polyester, nylon or combinations thereof. The solid sheet portion is attached to at least one of the first portion and the second portion.Type: GrantFiled: June 16, 2004Date of Patent: March 27, 2007Assignee: Pactiv CorporationInventor: John W. Lubker, II
-
Patent number: 7196025Abstract: A method for forming a material having an individually stabilized ply includes providing a ply having a non-orthogonal orientation. A stabilizing agent is then applied to maintain the orientation of the ply. The stabilizing agent could alternately be applied before forming the ply. The ply may be woven in a non-orthogonal orientation, or may have its orientation changed to the final non-orthogonal orientation. Changing may occur over multiple steps and may include using an accumulator and/or payout station to change the orientation.Type: GrantFiled: April 29, 2004Date of Patent: March 27, 2007Assignee: Saint-Gobain Performance Plastics CorporationInventors: Katherine M. Sahlin, Michael P. Cushman
-
Patent number: 7196026Abstract: An agend fiber composed of an active agent/positive dispensing carrier combination and a fiber forming component. As a fiber or nonwoven or textile fabric containing the fiber, the agend delivers the active agent at a desired rate under conditions of use. Product applications include personal care products such as diapers, training pants, swimwear, refastenable pants, absorbent underwear, feminine hygiene products, incontinent wear, wound dressings and cleansing wipes. The fibers may be formed as monocomponent fibers or multicomponent fibers of varying configurations. Active agents that can be dispensed include skin wellness agents, therapeutic agents, and cleaning agents, for example. In accordance with the invention, agend fibers provide desirable uniformity of dispensing and control of dispensing rates.Type: GrantFiled: June 20, 2003Date of Patent: March 27, 2007Assignee: Kimberly-Clark Worldwide, Inc.Inventors: Robert Cosmo Di Luccio, Frank Jerrel Akin
-
Patent number: 7196027Abstract: An optical glass wherein an amount of change in refractive index (?n: difference in refractive index between a state before radiation and a state after radiation) caused by radiation of laser beam at wavelength of 351 nm having average output power of 0.43 W, pulse repetition rate of 5 kHz and pulse width of 400 ns for one hour is 5 ppm or below is provided. The optical glass comprises a fluorine ingredient and/or a titanium oxide ingredient and/or an arsenic oxide ingredient. The optical glass suffers little change in refractive index by radiation of strong light having wavelengths of 300 nm to 400 nm such as ultraviolet laser.Type: GrantFiled: June 1, 2001Date of Patent: March 27, 2007Assignee: Kabushiki Kaisha OharaInventors: Akira Masumura, Muneo Nakahara, Satoru Matsumoto, Tatsuya Senoo
-
Patent number: 7196028Abstract: The present invention provides sliding members respectively having sliding surfaces opposed to each other for creating dynamic pressure in a fluid, in which the sliding surfaces are formed of ceramics containing crystal grains of Al2O3, crystal grains of TiC contained in the crystal grains of Al2O3, and crystal grains of TiC existing independently of the crystal grains of Al2O3, and having a TiC content of 5 to 20 mass % in the total amount of Al2O3 and TiC, and the respective volume resistivity values R1 and R2 of the ceramics forming the sliding surfaces are within a range simultaneously satisfying equations (1) to (3) to prevent spark discharges from being induced between the sliding surfaces, and a fluid dynamic pressure bearing and a motor to which the configuration is applied: 106 ?·cm<R1?1012 ?·cm??(1) 106 ?·cm<R2?1012 ?·cm??(2) |R1?R2|?105 ?·cm??(3)Type: GrantFiled: May 25, 2005Date of Patent: March 27, 2007Assignee: Kyocera CorporationInventors: Jun Ujita, Kazuhide Kusano, Shunji Mikaki
-
Patent number: 7196029Abstract: The present invention relates to the use of a catalytic system comprising a metal of group VIII, a metal of group VI, a metal oxide as carrier and suitable quantities of a component selected from a zeolite of the FER type, phosphorous, and a mixture thereof, in upgrading of hydrocarbons boiling in the naphtha range containing sulfur impurities, namely in hydrodesulfurization with contemporaneous skeleton isomerization of olefins contained in said hydrocarbons and/or with reduction of olefins hydrogenation, carried out in a single step.Type: GrantFiled: April 7, 2004Date of Patent: March 27, 2007Assignees: Enitechnologie S.p.A., Repsol Petroleo S.A., Elf Antar France S.A., AGIP Petroli S.p.A.Inventors: Laura Zanibelli, Virginio Arrigoni, Fernando Albertos, Evangelina Atanes, Thierry Cholley, Febronio Panarello
-
Patent number: 7196030Abstract: The present invention relates to a process for the preparation of at least one alkoxylate comprising the bringing into contact of an alkylene oxide mixture at least comprising ethylene oxide with at least one starter compound in the presence of at least one double-metal cyanide compound, where, during the induction phase, the sum of inert gas partial pressure and ethylene oxide partial pressure is 1.5 bar to 6.0 bar, to the alkoxylates obtainable by such a process, and to the use of such alkoxylates as emulsifier, foam regulator or as wetting agent for hard surfaces.Type: GrantFiled: April 25, 2003Date of Patent: March 27, 2007Assignee: BASF AktiengesellschaftInventors: Christian Wulff, Michael Stösser, Georg Heinrich Grosch, Kai-Uwe Baldenius, Edward Bohres
-
Patent number: 7196031Abstract: A catalyst composition for polymerization of a conjugated diene or copolymerization of a conjugated diene and an aromatic vinyl compound, which comprises the following components: (A) a metallocene-type complex of a rare earth metal compound (samarium complex etc.), and (B) an ionic compound composed of a non-coordinate anion and a cation (triphenylcarbonium tetrakis(pentafluorophenyl)borate etc.) and/or an aluminoxane. The catalyst composition is useful for producing a polymer having a high cis-1,4-configuration content in the microstructure and a narrow molecular weight distribution.Type: GrantFiled: September 4, 2002Date of Patent: March 27, 2007Assignee: RikenInventors: Shojiro Kaita, Zhaomin Hou, Yasuo Wakatsuki
-
Patent number: 7196032Abstract: A catalyst composition and method for olefin polymerization are provided. In one aspect, the catalyst composition is represented by the formula ?a?b?gMXn wherein M is a metal; X is a halogenated aryloxy group; ? and ? are groups that each comprise at least one Group 14 to Group 16 atom; ? is a linking moiety that forms a chemical bond to each of ? and ?; and a, b, g, and n are each integers from 1 to 4.Type: GrantFiled: August 3, 2005Date of Patent: March 27, 2007Assignee: Univation Technologies, LLCInventors: Timothy T. Wenzel, Zondra Dee Dixon
-
Patent number: 7196033Abstract: Provided herein are catalysts useful in reductive amination, which include nickel, copper, zirconium and/or chromium, oxygen, and tin. The presence of the tin increases the selectivity of the catalyst in reductive aminations over the catalysts of the prior art.Type: GrantFiled: April 18, 2002Date of Patent: March 27, 2007Assignee: Huntsman Petrochemical CorporationInventors: Terry L. Renken, Matthew W. Forkner
-
Patent number: 7196034Abstract: The invention is directed to a catalyst suitable for the hydrogenation of hydrocarbon resins, comprising a supported nickel on silica and alumina catalyst, said catalyst having a nickel content of 45 to 85 wt. %, a silicon content, calculated as SiO2, of 14 to 45 wt. %, an aluminium content, calculated as Al2O3, of 1 to 15 wt. % an iron content, calculated as Fe, 0.25 to 4 wt. %, all percentages having been calculated on the basis of the reduced catalyst, and which catalyst has a volume of pores between 2 and 60 nm, as defined herein, of at least 0.35 ml/g of catalyst.Type: GrantFiled: November 17, 2000Date of Patent: March 27, 2007Assignee: Engelhard CorporationInventor: Lucas Laurentius Kramer
-
Patent number: 7196035Abstract: Improved Ni catalysts for hydrogenation reactions are disclosed. The catalysts are useful for hydrogenation such as selective hydrogenation of acetylenic impurities in crude olefin and diolefin streams. The catalysts are prepared by depositing nickel on a porous support which has the following specific physical properties; BET surface area of from 30 to about 100 m2/g, total nitrogen pore volume of from 0.4 to about 0.9 cc/g, and an average pore diameter of from about 110 to 450 ? with or without modifiers of one or more elements selected from the group consisting of Cu, Re, Pd, Zn, Mg, Mo, Ca and Bi.Type: GrantFiled: October 13, 2005Date of Patent: March 27, 2007Assignee: Catalytic Distillation TechnologiesInventors: J. Yong Ryu, Hugh M. Putman
-
Patent number: 7196036Abstract: A catalyst for decomposition of hydrocarbons, comprises porous oxide particles containing magnesium and aluminum, and fine metallic nickel particles which are present in the vicinity of surface of the respective porous oxide particles, and have an average particle diameter of 1 to 10 nm, said catalyst having a nickel content of 0.15 to 12% by weight based on the weight of the catalyst and a molar ratio of nickel to a sum of magnesium, nickel and aluminum of 0.001 to 0.12 in which a molar ratio of magnesium to aluminum (Mg:Al) is 4:1 to 1.5:1. The catalyst for decomposition of hydrocarbons, is capable of maintaining as small a particle size of metallic nickel particles as not more than 10 nm at a considerably reduced nickel content, and exhibits an excellent anti-coking property even under a low steam atmosphere.Type: GrantFiled: February 23, 2004Date of Patent: March 27, 2007Assignee: Toda Kogyo CorporationInventors: Naoya Kobayashi, Shinji Takahashi
-
Patent number: 7196037Abstract: A method of producing a catalyst carrier. The method comprises contacting a silicon-containing ceramic material with a solution of an aluminum containing metal compound, firing the resulting material, immersing the material in hot water and, again firing the material.Type: GrantFiled: March 10, 2005Date of Patent: March 27, 2007Assignee: Ibiden Co., Ltd.Inventors: Kazushige Ohno, Teruo Komori, Akira Hasegawa, Noriyoshi Kakuta
-
Patent number: 7196038Abstract: A herbicidal composition that, in addition to comprising customary inert formulation adjuvants, comprises either: a) a compound of formula (I), wherein R is C1–C2alkyl or chlorine, R1 is hydrogen or C1–C4alkyl and R2 is C1–C4alkyl, or an agronomically acceptable salt of such a compound, and b) a synergistically effective amount of one or more compounds of formulae 2.1 to 2.51 and also an amount, effective for herbicide antagonism, of a safener, or: a) a compound of formula (I) and b) an amount, effective for herbicide antagonism, of a safener.Type: GrantFiled: April 26, 2002Date of Patent: March 27, 2007Assignee: Syngenta Crop Protection, Inc.Inventor: Willy T. Rüegg
-
Patent number: 7196039Abstract: Methods of reducing the fluid loss from a wellbore servicing fluid include combining a terpolymer with the wellbore servicing fluid to reduce the fluid loss from the fluid, followed by displacing the fluid into a wellbore. In an embodiment, the following monomers are used to make the terpolymer: from about 75 wt. % to about 95 wt. % of 2-acrylamido-2-methylpropanesulfonic acid or an alkali salt thereof; from about 3 wt. % to about 15 wt. % of acrylamide; and from about 3 wt. % to about 15 wt. % of N-vinyl-2-pyrrolidone, all weight percentages being based on a total weight of the monomers. The wellbore servicing fluid may comprise water or an aqueous salt solution such as a formate solution. The wellbore servicing fluid may also comprise clay such as montmorillonite and attapulgite clay.Type: GrantFiled: December 11, 2003Date of Patent: March 27, 2007Assignee: Chevron Philips Chemical Company LPInventor: Bharat B. Patel
-
Patent number: 7196040Abstract: A material and a device for releasing chemicals in a fluid environment is disclosed, and a method for releasing substances into downhole fluid environments. The invention also relates to materials for sand control and hydraulic fracturing. The substance release device typically comprises a polymeric material for releasing a substance into a downhole fluid environment in a well, and in preferred embodiments the material comprises a spherical, plastic chemical release capsule which is hard, permeable and may encapsulate a range of solids and/or liquids for subsequent release. These solids or liquids can include inhibitors such as scale inhibitors and other oilfield production chemicals for release into the wellbore of an oil or gas producing well or a water injection well.Type: GrantFiled: June 6, 2001Date of Patent: March 27, 2007Assignee: T R Oil Services LimitedInventors: Stephen Mark Heath, Hugh Malcolm Bourne
-
Patent number: 7196041Abstract: A surfactant has the formula (R1—X)nZ, where R1 is an aliphatic group comprising a C10–C25 principal straight chain bonded at a terminal carbon atom thereof to X, and comprising at least one C1–C6 side chain. X is a charged head group, Z is a counterion, and n is an integer which ensures that the surfactant is charge neutral.Type: GrantFiled: July 11, 2001Date of Patent: March 27, 2007Assignee: Schlumberger Technology CorporationInventors: Timothy Gareth John Jones, Gary John Tustin
-
Patent number: 7196042Abstract: A grease composition is produced by mixing a thickener comprising a fluoro resin and a second thickener component (a metallic soap, a complex metallic soap, an N-substituted terephthalamic acid metal salt, organic bentonite or a calcium sulfonate complex) into a base oil. This grease composition is excellent in heat resistance, load carring capacity, water resistance, rust protection, lubricating life and the like. A rolling apparatus filled with the above grease composition has excellent lubricating ability and is long-lived under high-temperature conditions. Moreover, a grease composition is produced by mixing a thickener comprising a fluoro resin and carbon black as a second thickener component into a base oil. This grease composition is excellent in heat resistance, water resistance, rust protection, lubricating life, electric conductivity and the like. A rolling apparatus filled with the above grease composition has excellent electric conductivity and is long-lived under high-temperature conditions.Type: GrantFiled: March 4, 2003Date of Patent: March 27, 2007Assignee: NSK Ltd.Inventors: Shinya Nakatani, Yasunobu Fujita, Michita Hokao, Hirotoshi Miyajima
-
Patent number: 7196043Abstract: A process and a composition are disclosed for producing surfaces that are self-cleaning by water, and in particular, there is disclosed an aqueous system for forming transparent self-cleaning surfaces. In the process, an aqueous mixture comprising (i) nanoparticles having a particle size of less than 300 nanometers and (ii) a surface modifier selected from the group consisting of water-soluble hydrophobic surface modifiers and water-dispersable hydrophobic surface modifiers capable of forming a continuous film from an aqueous solution is provided. The aqueous mixture is applied to a surface, and a self-cleaning transparent coating is formed on the surface upon water evaporation. In one embodiment, the aqueous mixture is essentially free of organic solvents other than coalescing solvents.Type: GrantFiled: October 22, 2003Date of Patent: March 27, 2007Assignee: S. C. Johnson & Son, Inc.Inventors: Richard S. Valpey, III, Matthew A. Jones
-
Patent number: 7196044Abstract: A warewashing detergent composition is provided according to the invention. The warewashing detergent composition includes a cleaning agent, an alkaline source, and a corrosion inhibitor. The cleaning agent comprises a detersive amount of a surfactant. The alkaline source is provided in an amount effective to provide a use composition having a pH of at least about 8. The corrosion inhibitor includes a source of aluminum ion and a source of zinc ion. The relative amounts of the source of zinc ion and the source of aluminum ion can be controlled to reduce visible filming when the warewashing detergent composition is used in the presence of hard water. Methods for using and manufacturing a warewashing detergent composition are provided.Type: GrantFiled: June 25, 2004Date of Patent: March 27, 2007Assignee: Ecolab, Inc.Inventors: Kim R. Smith, Keith E. Olson, Howie Kestell, Michael J. Bartelme, Steven E. Lentsch, Victor F. Man, Burton M. Baum, Terence P. Everson
-
Patent number: 7196045Abstract: A warewashing detergent composition is provided according to the invention. The warewashing detergent composition includes a cleaning agent, an alkaline source, and a corrosion inhibitor. The cleaning agent comprises a detersive amount of a surfactant. The alkaline source is provided in an amount effective to provide a use solution having a pH of at least about 8. The corrosion inhibitor includes a source of aluminum ion and a source of zinc ion. Methods for using and manufacturing a warewashing detergent composition are provided.Type: GrantFiled: February 2, 2006Date of Patent: March 27, 2007Assignee: Ecolab Inc.Inventors: Steven E. Lentsch, Michael J. Bartelme, Victor F. Man, Burton M. Baum, Terence P. Everson
-
Patent number: 7196046Abstract: The present invention is directed to a pourable acidic hard surface cleaning and/or disinfecting composition which contains suspended inclusions which appear as visibly discernible, discrete particulate materials, preferably where said discrete particulate materials are based on alginates.Type: GrantFiled: February 27, 2004Date of Patent: March 27, 2007Assignee: Reckitt Benckiser Inc.Inventors: Tak Wai Cheung, Edward Fu, Pamela A. Boone, Steven Wu, Benjamin Costa
-
Patent number: 7196047Abstract: Liquid concentrate components of a fountain solution are provided, including a liquid film-forming fountain solution concentrate and a liquid surface-tension reducing fountain solution concentrate. The concentrates and water can be combined together to form a fountain solution composition.Type: GrantFiled: August 9, 2002Date of Patent: March 27, 2007Assignee: RBP Chemical Technology, Inc.Inventors: Raymond J. Hanneman, Jr., Jeffrey G. Behrens, Salvatore R. Viverito
-
Patent number: 7196048Abstract: The present invention relates to anti-wrinkle fabric treatment compositions comprising: a) from about 0.01% to about 20% by weight, of a cationic silicone polymer or copolymer having the formula: [CAP]-Zm-[CAP] ?wherein each Z unit comprises at least one secondary, tertiary, or quaternary amino moiety, or mixtures thereof; [CAP] is a backbone termination or truncation unit; m is from 1 to 50. b) from about 1% to about 30% by weight, of a scavenger effective in scavenging compounds comprising an anionic unit; and c) the balance a carrier system. In addition, the present invention relates to fabric rinse additive compositions comprising: a) from about 0.01% to about 20% by weight, of a cationic silicone polymer or copolymer having the formula: [CAP]-Zm-[CAP] ?wherein each Z unit comprises at least one secondary, tertiary, or quaternary amino moiety, or mixtures thereof; [CAP] is a backbone termination or truncation unit; m is from 1 to 50.Type: GrantFiled: July 21, 2004Date of Patent: March 27, 2007Assignee: The Procter & Gamble Co.Inventors: Shulin Larry Zhang, Janet Sue Littig, Arturo Luis Casado-Dominguez