Patents Issued in September 30, 2014
-
Patent number: 8846494Abstract: An imaging system may include an imager integrated circuit with frontside components such as imaging pixels and backside components such as color filters and microlenses. The imager integrated circuit may be mounted to a carrier wafer with alignment marks. Bonding marks on the carrier wafer and the imager integrated circuit may be used to align the carrier wafer accurately to the imager integrated circuit. The alignment marks on the carrier wafer may be read, by fabrication equipment, to align backside components of the imager integrated circuit, such as color filters and microlenses, with backside components of the imager integrated circuit, such as photodiodes.Type: GrantFiled: July 3, 2012Date of Patent: September 30, 2014Assignee: Aptina Imaging CorporationInventors: Gianluca Testa, Giovanni De Amicis
-
Patent number: 8846495Abstract: Disclosed is a bonding system which efficiently performs a bonding of a substrate to a support substrate, thereby improving the throughput in a bonding processing. The disclosed bonding system includes a loading/unloading station and a processing station. The processing station includes: an adhesive applying device configured to apply an adhesive to the wafer; a protective agent applying device configured to apply a protective agent to the wafer, a remover applying device configured to apply a remover to the support wafer, a heat processing device configured to heat the wafer or the support wafer which is applied with at least the adhesive, the protective agent or the remover, at a predetermined temperature, a bonding device configured to bond the wafer to the support wafer through the adhesive, the protective agent and the remover, and a wafer transfer area configured to transfer the wafer, the support wafer or the bonded wafer.Type: GrantFiled: May 14, 2013Date of Patent: September 30, 2014Assignee: Tokyo Electron LimitedInventor: Masatoshi Deguchi
-
Patent number: 8846496Abstract: To provide a method of obtaining a single crystal semiconductor film by a method that is simple and low-cost. A single crystal semiconductor film 11 having compression stress is formed over a surface of a single crystal semiconductor substrate 10 by a vapor phase epitaxial growth method, a film having tensile stress (for example, a thermo-setting resin film 12) is formed over a surface of the single crystal semiconductor film 11, and the single crystal semiconductor substrate 10 and the single crystal semiconductor film 11 are separated from each other by a separation step in which force is applied to the single crystal semiconductor film 11, thereby obtaining a single crystal semiconductor film. Note that as the thermo-setting resin film 12, an epoxy resin film can be used, for example.Type: GrantFiled: April 22, 2011Date of Patent: September 30, 2014Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Sho Kato, Kazutaka Kuriki
-
Patent number: 8846498Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer. The mask is composed of a layer covering and protecting the integrated circuits. The mask is patterned with a multi-step laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits.Type: GrantFiled: January 6, 2014Date of Patent: September 30, 2014Assignee: Applied Materials, Inc.Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar
-
Patent number: 8846499Abstract: A composite carrier structure for manufacturing semiconductor devices is provided. The composite carrier structure utilizes multiple carrier substrates, e.g., glass or silicon substrates, coupled together by interposed adhesive layers. The composite carrier structure may be attached to a wafer or a die for, e.g., backside processing, such as thinning processes. In an embodiment, the composite carrier structure comprises a first carrier substrate having through-substrate vias formed therethrough. The first substrate is attached to a second substrate using an adhesive such that the adhesive may extend into the through-substrate vias.Type: GrantFiled: August 17, 2010Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Ying-Ching Shih, Weng-Jin Wu, Jing-Cheng Lin, Wen-Chih Chiou, Shin-Puu Jeng, Chen-Hua Yu
-
Patent number: 8846500Abstract: At least one exemplary embodiment is directed to a method of forming a multilayered gettering structure that can be used to control wafer warpage.Type: GrantFiled: December 13, 2010Date of Patent: September 30, 2014Assignee: Semiconductor Components Industries, LLCInventors: David Lysacek, Jana Vojtechovska, Lubomir Dornak, Petr Kostelnik, Lukas Valek, Petr Panek
-
Patent number: 8846501Abstract: The invention relates to a method for equipping a process chamber in an apparatus for depositing at least one layer on a substrate held by a susceptor in the process chamber, process gases being introduced into the process chamber through a gas inlet element, in particular by means of a carrier gas, the process gases decomposing into decomposition products in the chamber, in particular on hot surfaces, the decomposition products comprising the components that form the layer. In order to improve the apparatus so that thick multi-layer structures can be deposited reproducibly in process steps that follow one another directly, it is proposed that a material is selected for the surface facing the process chamber at least of the wall of the process chamber that is opposite the susceptor, the optical reflectivity, optical absorptivity and optical transmissivity of which respectively correspond to those of the layer to be deposited during the layer growth.Type: GrantFiled: June 8, 2010Date of Patent: September 30, 2014Assignee: Aixtron SEInventor: Gerhard Karl Strauch
-
Patent number: 8846502Abstract: Atomic layer deposition (ALD) processes for forming thin films comprising GaN are provided. In some embodiments, ALD processes for forming doped GaN thin films are provided. The thin films may find use, for example, in light-emitting diodes.Type: GrantFiled: June 29, 2012Date of Patent: September 30, 2014Assignee: ASM IP Holding B.V.Inventors: Suvi Haukka, Viljami J. Pore, Antti Niskanen
-
Patent number: 8846503Abstract: The present invention relates to a self-aligned and lateral-assembly method for integrating heterogeneous material structures on the same plane. By using this method, two semiconductor materials heterogeneous to each other can be laterally assembled in a self-alignment way, without using any epitaxial buffer layers or gradient buffer layers. Therefore, when applying this method to fabricating an electronic device having heterojunction, not only the manufacture cost can be effectively reduced, but the difficulty of manufacturing process can also be overcome.Type: GrantFiled: August 26, 2013Date of Patent: September 30, 2014Assignee: National Tsing Hua UniversityInventors: Ming-Chang Lee, Chih-Kuo Tseng
-
Patent number: 8846504Abstract: A method of growing GaN material on a silicon substrate includes providing a single crystal silicon substrate with a (100) surface orientation or a (100) with up to a 10° offset surface orientation and using epi-twist technology, epitaxially growing a single crystal stress managing layer on the silicon substrate. The single crystal stress managing layer includes rare earth oxide with a (110) crystal orientation and a cubic crystal structure. The method further includes epitaxially growing a single crystal buffer layer on the stress managing layer. The single crystal buffer layer includes rare earth oxide with a lattice spacing closer to a lattice spacing of GaN than the rare earth oxide of the stress managing layer. Epitaxially growing a layer of single crystal GaN material on the surface of the buffer, the GaN material having one of a (11-20) crystal orientation and a (0001) crystal orientation.Type: GrantFiled: November 8, 2013Date of Patent: September 30, 2014Assignee: Translucent, Inc.Inventors: Rytis Dargis, Andrew Clark, Erdem Arkun, Radek Roucka
-
Patent number: 8846505Abstract: A method for growing islands of semiconductor monocrystals from a solution on an amorphous substrate includes the procedures of depositing a semiconductor-metal mixture layer, applying lithography and etching for forming at least one platform, heating the at least one platform, and saturating the semiconductor-metal solution until a monocrystal of the semiconductor component is formed. The procedure of depositing a semiconductor-metal mixture layer, includes a semiconductor component and at least one other metal component, is performed on top of the amorphous substrate. The procedure of applying lithography and etching to the semiconductor-metal mixture layer and a portion of the amorphous substrate is performed for forming at least one platform, the at least one platform having a top view shape corresponding to crystal growth direction and habit respective of the semiconductor component.Type: GrantFiled: March 9, 2010Date of Patent: September 30, 2014Assignee: SKOKIE Swift CorporationInventor: Moshe Einav
-
Patent number: 8846506Abstract: A multilayered structure is provided. The multilayered structure may include a silicon substrate and a film of gadolinium oxide disposed on the silicon substrate. The top surface of the silicon substrate may have silicon orientated in the 100 direction (Si(100)) and the gadolinium oxide disposed thereon may have an orientation in the 100 direction (Gd2O3(100)).Type: GrantFiled: April 22, 2013Date of Patent: September 30, 2014Assignee: The University of North Carolina at CharlotteInventors: Raphael Tsu, Wattaka Sitapura, John Hudak
-
Patent number: 8846507Abstract: Compositions and methods for controlled polymerization and/or oligomerization of hydrosilanes compounds including those of the general formulae SinH2n and SinH2n+2 as well as alkyl- and arylsilanes, to produce soluble silicon polymers as a precursor to silicon films having low carbon content.Type: GrantFiled: May 17, 2013Date of Patent: September 30, 2014Assignee: Thin Film Electronics ASAInventors: Dmitry Karshtedt, Joerg Rockenberger, Fabio Zurcher, Brent Ridley, Erik Scher
-
Patent number: 8846508Abstract: Methods to implant ions into the sidewall of a three dimensional high aspect ratio feature, such as a trench or via, are disclosed. The methods utilize a phenomenon known as knock-in, which causes a first species of ions, already disposed in the fill material, to become implanted in the sidewall when these ions are struck by ions of a second species being implanted into the fill material. In some embodiments, these first species and second species have similar masses to facilitate knock-in. In some embodiments, the entire hole is not completely filled with fill material. Rather, some fill material is deposited, an ion implant is performed to cause knock-in to the sidewall adjacent to the deposited fill material, and the process is repeated until the hole is filled.Type: GrantFiled: July 15, 2013Date of Patent: September 30, 2014Assignee: Varian Semiconductor Equipment Associates, Inc.Inventors: Jonathan Gerald England, Andrew M. Waite, Simon Ruffell
-
Patent number: 8846509Abstract: The present invention generally relates to methods of forming substrates using remote radical hydride doping. The methods generally include remotely activating a gas and introducing activated radicals of the gas into a chamber. The activated radicals may be activated hydride radicals of a gas such as diborane (B2H6), phosphine (PH3), or arsine (AsH3) which are utilized to incorporate an element such as boron, phosphorus, or arsenic into a substrate having a surface temperature between about 400 degrees Celsius and about 1000 degrees Celsius. Alternatively, the activated radicals may be activated radicals of an inert gas. The activated radicals of the inert gas are introduced into a chamber having a dopant-containing gas, such as diborane, phosphine, or arsine, therein. The activated radicals of the inert gas activate the dopant-gas and incorporate dopants into a heated substrate located within the chamber.Type: GrantFiled: November 14, 2012Date of Patent: September 30, 2014Assignee: Applied Materials, Inc.Inventors: Christopher S. Olsen, Johanes S. Swenberg
-
Patent number: 8846510Abstract: The present disclosure provides one embodiment of a method forming a p-type field effect transistor (pFET) structure. The method includes forming a mask layer on a semiconductor substrate, the mask layer including an opening that exposes a semiconductor region of the semiconductor substrate within the opening; forming a n-type well (n-well) in the semiconductor region by performing an ion implantation of a n-type dopant to the semiconductor substrate through the opening of the mask layer; and performing a germanium (Ge) channel implantation to the semiconductor substrate through the opening of the mask layer, forming a Ge channel implantation region in the n-well.Type: GrantFiled: February 22, 2013Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Harry-Hak-Lay Chuang, Po-Nien Chen, Wei Cheng Wu, Bao-Ru Young
-
Patent number: 8846511Abstract: One illustrative method disclosed herein includes forming an initial nanowire structure having an initial cross-sectional size, performing a doping diffusion process to form an N-type doped region in the initial nanowire structure and performing an etching process to remove at least a portion of the doped region and thereby define a final nanowire structure having a final cross-sectional size, wherein the final cross-sectional size is smaller than the initial cross-sectional size.Type: GrantFiled: February 12, 2013Date of Patent: September 30, 2014Assignee: GLOBALFOUNDRIES Inc.Inventors: Nicholas V. LiCausi, Jeremy A. Wahl
-
Patent number: 8846512Abstract: Methods of incorporating impurities into materials can be useful in non-volatile memory devices as well as other integrated circuit devices. Various embodiments provide for incorporating impurities into a material using a mask.Type: GrantFiled: July 8, 2013Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventor: Jaydeb Goswami
-
Patent number: 8846513Abstract: When forming self-aligned contact elements in sophisticated semiconductor devices in which high-k metal gate electrode structures are to be provided on the basis of a replacement gate approach, the self-aligned contact openings are filled with an appropriate fill material, such as polysilicon, while the gate electrode structures are provided on the basis of a placeholder material that can be removed with high selectivity with respect to the sacrificial fill material. In this manner, the high-k metal gate electrode structures may be completed prior to actually filling the contact openings with an appropriate contact material after the removal of the sacrificial fill material. In one illustrative embodiment, the placeholder material of the gate electrode structures is provided in the form of a silicon/germanium material.Type: GrantFiled: September 23, 2011Date of Patent: September 30, 2014Assignee: GLOBALFOUNDRIES Inc.Inventors: Peter Baars, Richard Carter, Rolf Stephan
-
Patent number: 8846514Abstract: A thin film transistor array panel according to an exemplary embodiment of the present disclosure includes: an insulating substrate; a gate electrode disposed on the insulating substrate; a gate insulating layer disposed on the gate electrode; a semiconductor disposed on the gate insulating layer; a source electrode and a drain electrode disposed on the semiconductor; an ohmic contact layer disposed at an interface between at least one of the source and drain electrodes and the semiconductor. Surface heights of the source and drain electrodes different, while surface heights of the semiconductor and the ohmic contact layer are the same. The ohmic contact layer is made of a silicide of a metal used for the source and drain electrodes.Type: GrantFiled: July 27, 2012Date of Patent: September 30, 2014Assignee: Samsung Display Co., Ltd.Inventors: Sang Ho Park, Yoon Ho Khang, Se Hwan Yu, Yong Su Lee, Chong Sup Chang, Myoung Geun Cha, Hyun Jae Na
-
Patent number: 8846515Abstract: Some embodiments include methods of forming contacts. A row of projections may be formed over a semiconductor substrate. The projections may include a plurality of repeating components of an array, and a terminal projection. The terminal projection may have a sacrificial material spaced from semiconductor material of the substrate by a dielectric structure. An electrically conductive line may be formed along the row. The line may wrap around an end of the terminal projection and bifurcate into two branches that are along opposing sides of the repeating components. The individual branches may have regions spaced from the sacrificial material by segments of gate dielectric. The sacrificial material may be removed, together with the segments of gate dielectric, to form a contact opening. An electrically conductive contact may be formed within the contact opening and directly against the regions of the branches.Type: GrantFiled: July 29, 2013Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventors: Marcello Mariani, Micaela Gabriella Tomasini
-
Patent number: 8846516Abstract: Dielectric materials having implanted metal sites and methods of their fabrication have been described. Such materials are suitable for use as charge-trapping nodes of non-volatile memory cells for memory devices. By incorporating metal sites into dielectric charge-trapping materials using an ammonia plasma and a metal source in contact with the plasma, improved programming and erase voltages may be facilitated.Type: GrantFiled: July 6, 2007Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventor: Nirmal Ramaswamy
-
Patent number: 8846517Abstract: A method of forming a pattern on a substrate includes forming longitudinally elongated first lines and first sidewall spacers longitudinally along opposite sides of the first lines elevationally over an underlying substrate. Longitudinally elongated second lines and second sidewall spacers are formed longitudinally along opposite sides of the second lines. The second lines and the second sidewall spacers cross elevationally over the first lines and the first sidewall spacers. The second sidewall spacers are removed from crossing over the first lines. The first and second lines are removed in forming a pattern comprising portions of the first and second sidewall spacers over the underlying substrate. Other methods are disclosed.Type: GrantFiled: December 19, 2013Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventors: Vishal Sipani, Anton J. deVilliers
-
Patent number: 8846518Abstract: A multilayer construction is disclosed. The multilayer construction includes a -II-VI semiconductor layer (110)x and a Si3N4 layer (120) disposed directly on the II-VI semiconductor layer. To improve the adhesion of the Si3N4 layer (120) a native oxide on the II-VI semiconductor layer is removed.Type: GrantFiled: June 1, 2011Date of Patent: September 30, 2014Assignee: 3M Innovative Properties CompanyInventors: Jun-Ying Zhang, Michael A. Haase, Todd A. Ballen, Terry L. Smith
-
Patent number: 8846519Abstract: A semiconductor device and a manufacturing method thereof are provided. The semiconductor device has an active surface. The semiconductor device includes at least a connecting element and at least a bump. The connecting element is disposed on the activate surface and has a minimum dimension smaller than 100 microns. The bump is disposed on the connecting element and is electrically connected to the active surface by the connecting element. The bump includes a pillar part disposed on the connecting element and a top part disposed on the top of the pillar part. The pillar part has a first dimension and a second dimension both parallel to the active surface. The first dimension is more than 1.2 times the second dimension. The top part is composed of solder and will melt under a pre-determined temperature. The pillar part will not melt under the pre-determined temperature.Type: GrantFiled: May 9, 2012Date of Patent: September 30, 2014Assignee: Advanpack Solutions Pte Ltd.Inventors: Hwee-Seng Jimmy Chew, Chee Kian Ong
-
Patent number: 8846520Abstract: A semiconductor device includes: a foundation layer that is provided on a substrate and is electrically conductive; a nickel layer provided on the foundation layer; and a solder provided on the nickel layer, the nickel layer having a first region on a side of the foundation layer and a second region on a side of the solder, the second region being harder than the first region.Type: GrantFiled: September 28, 2012Date of Patent: September 30, 2014Assignee: Sumitomo Electric Device Innovations, Inc.Inventor: Keita Matsuda
-
Patent number: 8846521Abstract: A manufacturing method of an electronic component package, includes: forming electrode pads on a main surface of a first electronic component; forming first bonding wires shaped in loop so as to be electrically connected with the electrode pads and elongated upward from the electrode pads and such that both ends of the first bonding wires are on the electrode pad, respectively; forming a resin layer over the main surface of the first electronic component so as to embed the first bonding wires; removing the resin layer so as to expose ends of the first bonding wires from the resin layer and removing the end of each of the first bonding wires so that two wires are elongated from on each of the electrode pads; and forming a metallic layer on the surface of the resin layer after removing so that the first bonding wires are electrically connected with the metallic layer.Type: GrantFiled: September 26, 2008Date of Patent: September 30, 2014Assignee: Kabushiki Kaisha ToshibaInventor: Yoshiaki Sugizaki
-
Patent number: 8846522Abstract: The present invention is a process for forming an air gap within a substrate, the process comprising: providing a substrate; depositing a sacrificial material by deposition of at least one sacrificial material precursor; depositing a composite layer; removal of the porogen material in the composite layer to form a porous layer and contacting the layered substrate with a removal media to substantially remove the sacrificial material and provide the air gaps within the substrate; wherein the at least one sacrificial material precursor is selected from the group consisting of: an organic porogen; silicon, and a polar solvent soluble metal oxide and mixtures thereof.Type: GrantFiled: February 14, 2013Date of Patent: September 30, 2014Assignee: Air Products and Chemicals, Inc.Inventors: Raymond Nicholas Vrtis, Dingjun Wu, Mark Leonard O'Neill, Mark Daniel Bitner, Jean Louise Vincent, Eugene Joseph Karwacki, Jr., Aaron Scott Lukas
-
Patent number: 8846523Abstract: In a process, an opening is formed to extend from a front surface of a semiconductor substrate through at least a part of the semiconductor substrate. A metal seed layer is formed on a sidewall of the opening. A metal silicide layer is formed on at least one portion of the metal seed layer. A metal layer is formed on the metal silicide layer and the metal seed layer to fill the opening.Type: GrantFiled: April 1, 2013Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Weng-Jin Wu, Yung-Chi Lin, Wen-Chih Chiou
-
Patent number: 8846524Abstract: A system and method for plating a contact connected to a test pad is provided. An embodiment comprises inserting a blocking material into vias between the contact and the test pad. In another embodiment a blocking structure may be inserted between the contact and the test pad. In yet another embodiment a blocking layer may be inserted into a contact stack. Once the blocking material, the blocking structure, or the blocking layer have been formed, the contact may be plated, with the blocking material, the blocking structure, or the blocking layer reducing or preventing degradation of the test pad due to galvanic effects.Type: GrantFiled: August 13, 2013Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chin-Fu Kao, Cheng-Lin Huang, Jing-Cheng Lin
-
Patent number: 8846525Abstract: Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about ?600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of SixByCz, SixByNz, SixByCzNw, BxCy, and BxNy. In some embodiments, a hardmask film includes a germanium-rich GeNx material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.Type: GrantFiled: August 15, 2013Date of Patent: September 30, 2014Assignee: Novellus Systems, Inc.Inventors: Vishwanathan Rangarajan, George Andrew Antonelli, Ananda Banerji, Bart Van Schravendijk
-
Patent number: 8846526Abstract: A substrate (3) in which a through-hole (2) is filled with a filler (4) is prepared, and a structure (6), at least a part of the surface of which has an insulating property, is formed on the surface of the substrate (3). A plated layer (7) is formed on the substrate (3) having the structure (6) formed thereon, and the filler (4) and the structure (6) are removed. Thus, a through-hole substrate (8) is formed, in which the plated layer (7) having an opening (9) communicating with the through-hole (2) is provided on at least one surface of a substrate (1).Type: GrantFiled: April 6, 2012Date of Patent: September 30, 2014Assignee: Canon Kabushiki KaishaInventor: Takayuki Teshima
-
Patent number: 8846527Abstract: A method is provided for fabricating an MOS transistor. The method includes providing a semiconductor substrate, and forming a gate structure having a gate dielectric layer and a gate metal layer on the semiconductor substrate. The method also includes forming offset sidewall spacers at both sides of the gate structure, and forming lightly doped regions in semiconductor substrate at both sides of the gate structure. Further, the method includes forming a first metal silicide region in each of the lightly doped regions, and forming main sidewall spacers at both sides of the gate structure. Further, the method includes forming heavily doped regions in semiconductor substrate at both sides of the gate structure and the main sidewall spacers, and forming a second metal silicide region in each of the heavily doped regions.Type: GrantFiled: May 6, 2013Date of Patent: September 30, 2014Assignee: Semiconductor Manufacturing International Corp.Inventor: Neil Zhao
-
Patent number: 8846528Abstract: A dielectric layer having features etched thereon and a low dielectric constant, and that is carried by a semiconductor substrate. The etched dielectric layer is modified so its surface energy is reduced by at least one of: (a) applying thermal energy to the layer to cause the layer temperature to be between 100 C and 400 C; (b) irradiating the layer with electromagnetic energy; and/or (c) irradiating the layer with free ions.Type: GrantFiled: November 29, 2011Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Joung-Wei Liou, Chung-Chi Ko, Chia-Cheng Chou, Keng-Chu Lin
-
Patent number: 8846529Abstract: A method for forming an on-chip magnetic structure includes forming a seed layer over a substrate of a semiconductor chip. The seed layer is patterned to provide a plating location. A cobalt based alloy is electrolessly plated at the plating location to form an inductive structure on the semiconductor chip.Type: GrantFiled: January 10, 2013Date of Patent: September 30, 2014Assignee: International Business Machines CorporationInventors: William J. Gallagher, Eugene J. O'Sullivan, Naigang Wang
-
Patent number: 8846530Abstract: To provide a method for manufacturing a power storage device which enables improvement in performance of the power storage device, such as an increase in discharge capacity. To provide a method for forming a semiconductor region which is used for a power storage device or the like so as to improve performance. A method for forming a crystalline semiconductor region includes the steps of: forming, over a conductive layer, a crystalline semiconductor region that includes a plurality of whiskers including a crystalline semiconductor by an LPCVD method; and performing heat treatment on the crystalline semiconductor region after supply of a source gas containing a deposition gas including silicon is stopped. A method for manufacturing a power storage device includes the step of using the crystalline semiconductor region as an active material layer of the power storage device.Type: GrantFiled: June 13, 2011Date of Patent: September 30, 2014Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Makoto Furuno, Takashi Shimazu
-
Patent number: 8846531Abstract: To provide a method of manufacturing a semiconductor device that can be in contact with both of an n-type SiC region and a p-type SiC region and can suppress increase in contact resistance due to oxidation, a method of manufacturing a semiconductor device includes the steps of preparing a SiC layer, and forming an ohmic electrode on a main surface of the SiC layer. The step of forming the ohmic electrode includes the steps of forming a conductor layer which will become the ohmic electrode on the main surface of the SiC layer, and performing heat treatment such that the conductor layer becomes the ohmic electrode. After the step of performing the heat treatment, a temperature of the ohmic electrode when a surface of the ohmic electrode is exposed to an atmosphere containing oxygen is set to 100° C. or lower.Type: GrantFiled: July 30, 2010Date of Patent: September 30, 2014Assignee: Sumitomo Electric Industries, Ltd.Inventors: Hideto Tamaso, Keiji Wada
-
Patent number: 8846532Abstract: A method and apparatus for ultra thin wafer backside processing are disclosed. The apparatus includes an outer ring holding a high temperature grinding and/or dicing tape to form a support structure. An ultra thin wafer or diced wafer is adhered to the tape within the ring for wafer backside processing. The wafer backside processing includes ion implantation, annealing, etching, sputtering and evaporation while the wafer is in the support structure. Alternative uses of the support structure are also disclosed including the fabrication of dies having metalized side walls.Type: GrantFiled: September 16, 2012Date of Patent: September 30, 2014Assignee: Alpha and Omega Semiconductor IncorporatedInventors: Tao Feng, Ming Sun
-
Patent number: 8846533Abstract: A cleaning solution of the present invention contains a sodium ion, a potassium ion, an iron ion, an ammonium salt of a sulfuric ester represented by General Formula (1), and water, and each content of the sodium ion, the potassium ion, and the iron ion is 1 ppb to 500 ppb. ROSO3—(X)+ (1) where R is an alkyl group with a carbon number of 8-22 or an alkenyl group with a carbon number of 8-22, and (X)+ is an ammonium ion.Type: GrantFiled: May 18, 2009Date of Patent: September 30, 2014Assignee: Kao CorporationInventor: Youichi Ishibashi
-
Patent number: 8846534Abstract: Embodiments of the present invention relate to reducing the size variation on a wafer fabrication. In some embodiments, at least a portion the backfill material over features larger than a threshold size is etched or milled to provide backfill protrusions over those features. The backfill protrusions are configured to reduce the size variation across the fabrication. Embodiments of the invention may be used in fabrication of many types of devices, such as tapered wave guides (TWG), near-field transducers (NFT), MEMS devices, EAMR optical devices, optical structures, bio-optical devices, micro-fluidic devices, and magnetic writers.Type: GrantFiled: October 7, 2011Date of Patent: September 30, 2014Assignee: Western Digital (Fremont), LLCInventors: Yunfei Li, Ge Yi, Dujiang Wan, Guanghong Luo, Lijie Zhao, Yanfeng Chen, Lily Yao, Ming Jiang
-
Patent number: 8846535Abstract: The present invention discloses a method for fabricating semiconductor devices. After removing excessive aluminum to form aluminum gates through a chemical mechanical planarization (CMP) process, the exposed surfaces of the aluminum gates are oxidized with H2O2 solution to form a film of alumina, and the semiconductor device is cleaned.Type: GrantFiled: December 8, 2011Date of Patent: September 30, 2014Assignee: Semiconductor Manufacturing International (Beijing) CorporationInventors: Li Jiang, Mingqi Li, Pulei Zhu
-
Patent number: 8846536Abstract: Provided herein are integration-compatible dielectric films and methods of depositing and modifying them. According to various embodiments, the methods can include deposition of flowable dielectric films targeting specific film properties and/or modification of those properties with an integration-compatible treatment process. In certain embodiments, methods of depositing and modifying flowable dielectric films having tunable wet etch rates and other properties are provided. Wet etch rates can be tuned during integration through am integration-compatible treatment process. Examples of treatment processes include plasma exposure and ultraviolet radiation exposure.Type: GrantFiled: June 11, 2012Date of Patent: September 30, 2014Assignee: Novellus Systems, Inc.Inventors: Nerissa Draeger, Karena Shannon, Bart van Schravendijk, Kaihan Ashtiani
-
Patent number: 8846537Abstract: A mold having an open interior volume is used to define patterns. The mold has a ceiling, floor and sidewalls that define the interior volume and inhibit deposition. One end of the mold is open and an opposite end has a sidewall that acts as a seed sidewall. A first material is deposited on the seed sidewall. A second material is deposited on the deposited first material. The deposition of the first and second materials is alternated, thereby forming alternating rows of the first and second materials in the interior volume. The mold and seed layer are subsequently selectively removed. In addition, one of the first or second materials is selectively removed, thereby forming a pattern including free-standing rows of the remaining material. The free-standing rows can be utilized as structures in a final product, e.g., an integrated circuit, or can be used as hard mask structures to pattern an underlying substrate. The mold and rows of material can be formed on multiple levels.Type: GrantFiled: March 11, 2013Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventor: Gurtej S. Sandhu
-
Patent number: 8846538Abstract: Systems and methods associated with semiconductor articles are disclosed, including forming a first layer of material on a substrate, etching trenches within regions defining a passive element in the first layer, forming metal regions on sidewalls of the trenches, and forming a region of dielectric or polymer material over or in the substrate. Moreover, an exemplary method may also include forming areas of metal regions on the sidewalls of the trenches such that planar strip portions of the areas form electrically conductive regions of the passive element(s) that are aligned substantially perpendicularly with respect to a primary plane of the substrate. Other exemplary embodiments may comprise various articles or methods including capacitive and/or inductive aspects, Titanium- and/or Tantalum-based resistive aspects, products, products by processes, packages and composites consistent with one or more aspects of the innovations set forth herein.Type: GrantFiled: July 27, 2012Date of Patent: September 30, 2014Assignee: Silicon Storage Technology, Inc.Inventors: Bomy Chen, Long Ching Wang, Sychi Fang
-
Patent number: 8846539Abstract: A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode.Type: GrantFiled: February 22, 2010Date of Patent: September 30, 2014Assignee: Lam Research CorporationInventors: Rajinder Dhindsa, Eric Lenz
-
Patent number: 8846540Abstract: A semiconductor device includes a semiconductor substrate having an etch target layer provided on the surface thereof, and a hard mask layer formed over the etch target layer and including silicon, wherein the hard mask layer includes a dual structure including a first area and a second area having a larger etch rate than the first area, in order to increase an etching selectivity of the hard mask layer.Type: GrantFiled: December 12, 2012Date of Patent: September 30, 2014Assignee: SK Hynix Inc.Inventors: Sung-Kwon Lee, Jun-Hyeub Sun, Young-Kyun Jung
-
Patent number: 8846541Abstract: Methods of forming a semiconductor device may include providing a feature layer having a first region and a second region. The methods may also include forming a dual mask layer on the feature layer. The methods may further include forming a variable mask layer on the dual mask layer. The methods may additionally include forming a first structure on the feature layer in the first region and a second structure on the feature layer in the second region by patterning the variable mask layer and the dual mask layer. The methods may also include forming a first spacer on a sidewall of the first structure and a second spacer on a sidewall of the second structure. The methods may further include removing the first structure while maintaining at least a portion of the second structure.Type: GrantFiled: December 18, 2013Date of Patent: September 30, 2014Assignee: Samsung Electronics Co., Ltd.Inventors: Jae-Ho Min, O-Ik Kwon, Bum-Soo Kim, Dong-Chan Kim, Myeong-Cheol Kim
-
Patent number: 8846542Abstract: The invention includes methods for selectively etching insulative material supports relative to conductive material. The invention can include methods for selectively etching silicon nitride relative to metal nitride. The metal nitride can be in the form of containers over a semiconductor substrate, with such containers having upwardly-extending openings with lateral widths of less than or equal to about 4000 angstroms; and the silicon nitride can be in the form of a layer extending between the containers. The selective etching can comprise exposure of at least some of the silicon nitride and the containers to Cl2 to remove the exposed silicon nitride, while not removing at least the majority of the metal nitride from the containers. In subsequent processing, the containers can be incorporated into capacitors.Type: GrantFiled: February 13, 2014Date of Patent: September 30, 2014Assignee: Micron Technology, Inc.Inventors: Kevin R. Shea, Thomas M. Graettinger
-
Patent number: 8846543Abstract: Provided is a two-step ALD deposition process for forming a gate dielectric involving an erbium oxide layer deposition followed by a hafnium oxide layer deposition. Hafnium oxide can provide a high dielectric constant, high density, large bandgap and good thermal stability. Erbium oxide can act as a barrier against oxygen diffusion, which can lead to increasing an effective oxide thickness of the gate dielectric and preventing hafnium-silicon reactions that may lead to higher leakage current.Type: GrantFiled: May 24, 2012Date of Patent: September 30, 2014Inventor: Jinhong Tong
-
Patent number: 8846544Abstract: A semiconductor device comprises a semiconductor substrate, a first electrode formed on a first main surface of the semiconductor substrate, and a second electrode formed on a second main surface of the semiconductor substrate. The semiconductor substrate includes a first region in which a density of oxygen-vacancy defects is greater than a density of vacancy cluster defects, and a second region in which the density of vacancy cluster defects is greater than the density of oxygen-vacancy defects.Type: GrantFiled: February 28, 2014Date of Patent: September 30, 2014Assignee: Toyota Jidosha Kabushiki KaishaInventors: Tadashi Misumi, Shinya Iwasaki, Takahide Sugiyama