Condition Responsive Control Of Transporting Means Patents (Class 414/222.02)
  • Patent number: 7881773
    Abstract: The invention described herein provides systems and methods for multi-modal imaging with light and a second form of imaging. Light imaging involves the capture of low intensity light from a light-emitting object. A camera obtains a two-dimensional spatial distribution of the light emitted from the surface of the subject. Software operated by a computer in communication with the camera may then convert two-dimensional spatial distribution data from one or more images into a three-dimensional spatial representation. The second imaging mode may include any imaging technique that compliments light imaging. Examples include magnetic resonance imaging (MRI) and computer topography (CT). An object handling system moves the object to be imaged between the light imaging system and the second imaging system, and is configured to interface with each system.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: February 1, 2011
    Assignee: Xenogen Corporation
    Inventors: Michael D. Cable, Bradley W. Rice, David Nilson
  • Publication number: 20100314042
    Abstract: In a method for reinforcing a fibre composite component for aviation and space flight, a vacuum mat is configured with at least one receiving portion for reproducibly receiving at least one reinforcing element. The at least one reinforcing element is introduced into the at least one receiving portion of the vacuum mat. The vacuum mat with at least one introduced reinforcing element is applied reproducibly in a sealed manner to the fibre composite component to be reinforced to form a mould portion and at least the formed mould portion is cured to connect the at least one reinforcing element to the fibre composite component. The vacuum mat is then removed from the reinforced fibre composite component so that the vacuum mat can be reused.
    Type: Application
    Filed: June 16, 2010
    Publication date: December 16, 2010
    Inventors: Christian Luebbering, Hauke Lengsfeld, Roland Brandenburg
  • Patent number: 7813832
    Abstract: A method and apparatus for positioning a substrate in a substrate processing chamber. The method includes placing the substrate on a substrate transfer blade, moving the substrate transfer blade to a first position located in a transfer chamber, and capturing at least one image that includes at least a portion of the substrate transfer blade and at least a portion of the substrate. The method also includes processing the image to determine a position of a predetermined portion of the substrate transfer blade and a position of predetermined portion of the substrate. The method further includes determining an offset between the position of the predetermined portion of the substrate transfer blade and the position of the predetermined portion of the substrate, and moving the substrate transfer blade to a second position located in the substrate processing chamber, wherein the second position is adjusted to account for the offset.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: October 12, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Satish Sundar
  • Patent number: 7813782
    Abstract: The invention described herein provides systems and methods for handling objects within an imaging system, such as a multi-modal imaging system. An object handling system operates to position an object to be imaged in an interior cavity of a light imaging system, and also moves the object to be imaged between the light imaging system and a second imaging system. The object handling system can include components such as a stage that supports the object, a manipulator configured to move the stage between the interior and exterior of the light imaging system and a light seal configured to interface with a light seal on an exterior wall of the light imaging system.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: October 12, 2010
    Assignee: Xenogen Corporation
    Inventors: Michael D. Cable, Bradley W. Rice, David Nilson
  • Publication number: 20100254788
    Abstract: Method and apparatus for aligning a small-size component with vacuum pick-up nozzles within turrets used with electronic components or assembly equipments. The method comprises the steps of picking-up the component with a pick-up nozzle; measuring a position of the component in respect to a defined value; bringing the component held by the pick-up nozzle in contact with a aligning device; holding the component with the aligning device and; moving the aligning device in order to align the component based on the position measurement.
    Type: Application
    Filed: June 21, 2010
    Publication date: October 7, 2010
    Applicant: ISMECA SEMICONDUCTOR HOLDINGS SA
    Inventors: Pascal Dromard, Sébastien Maier
  • Publication number: 20100239397
    Abstract: This invention provides a substrate transport apparatus (100) which transports a substrate (W) placed on a hand portion (10) to a processing apparatus or a predetermined storage unit. The substrate transport apparatus (100) includes a moving means (20) for supporting the proximal side (10b) of the hand portion (10) serving as one end of the hand portion (10), and reciprocally moving the hand portion (10) in the direction of its extension, a tilt detection means (30) for detecting the tilt of a distal end (10a) of the hand portion (10) with respect to the horizontal direction, which accompanies flexure of the hand portion (10) upon placing the substrate (W) on the hand portion (10), and a tilt correction means (40) for generating a pitching motion of the hand portion (10) as a whole so as to cancel the tilt of the distal end (10a) of the hand portion (10).
    Type: Application
    Filed: June 4, 2010
    Publication date: September 23, 2010
    Applicant: HIRATA CORPORATION
    Inventor: Kouji IRIE
  • Publication number: 20100232914
    Abstract: A method includes designating a plurality of wafers as members of a group. A first subset of the wafers is housed in a first wafer pod and a second subset of the wafers is housed in a second wafer pod. The first wafer pod is routed to a first tool, and at least a first operation is performed on the wafers in the first subset using the first tool. The second wafer pod is routed to a second tool, and the first operation is performed on the wafers in the second subset using the second tool. The wafers in the first and second subsets are consolidated following the performing of the first operation.
    Type: Application
    Filed: March 13, 2009
    Publication date: September 16, 2010
    Inventors: Diwaskar Adhikari, Raymond G. Goss, Carmen A. Maxim, Jon Rothe
  • Publication number: 20100209219
    Abstract: A device handler for testing and sorting electronic devices has a testing station operative to test the electronic devices and to classify them according to different binning characteristics. A buffer assembly receives electronic devices which have been classified at the testing station, and the buffer assembly further comprises a first loading region having a plurality of receptacles and a second loading region having a plurality of receptacles. An output station is operative to unload electronic devices according to their different binning characteristics from either one of the first or second loading region of the buffer assembly for storage while electronic devices are being loaded onto the other loading region.
    Type: Application
    Filed: February 17, 2009
    Publication date: August 19, 2010
    Inventors: Chak Tong SZE, Pei Wei TSAI, Ho Yin WONG, Tin Yi CHAN
  • Publication number: 20100209220
    Abstract: A rotary actuator position sensor comprises a target with a target surface coupled to a rotatable shaft and a sensor positioned to face the target surface. The target surface is configured to vary the distance between the target surface and the position sensor as the shaft is shifted from one rotational position to another. The sensor provides an analog output signal that corresponds to distance to the target and therefore to the rotational position of the shaft. A controller processes a signal corresponding to the sensor output signal to determine the rotational position. The controller can control the rotation of the shaft from one rotational position to another. The position sensor can be used in a wafer processing system with the controller also controlling movement of a wafer cassette holder into and out of wet tanks and between tanks. The controller can also control an optional agitator and front to back and back to front movement of the shaft.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 19, 2010
    Inventors: Jeffrey M. Wagner, Daniel L. Schloesser
  • Patent number: 7778727
    Abstract: An electronic component inspection apparatus includes an inspection socket which inspects a component, a tray disposition area in which a component waits before it is inspected, tray disposition areas which store a component after it has been inspected, components transfer mechanisms each of which has a vacuum or suction nozzle that can pick up and hold a component to transfer the component, a component position confirmation camera which can capture an image of the component that is being transferred, and a controller which transfers a component to the inspection socket, via a position in which the component position confirmation camera captures an image of the component being held by the suction nozzle while the component is being transferred from the tray disposition area to the inspection socket, and based on that captured image, controls the drive of the components transfer mechanisms so that the component is set in the inspection socket.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: August 17, 2010
    Assignee: Yamaha Hatsudoki Kabushiki Kaisha
    Inventors: Yukio Kanno, Yoshiaki Fukukawa
  • Publication number: 20100202860
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 12, 2010
    Applicant: ASM America, Inc.
    Inventors: Joseph C. Reed, Eric J. Shero
  • Publication number: 20100202861
    Abstract: A transfer system includes: a first shelf disposed to block an original transfer path from a transfer position at which the transporting vehicle transfers the transported object to the port and can transfer the transported object with the transporting vehicle; a second shelf which puts the transported object; a displacing device can reciprocate the transported object with respect to the first shelf and the port in a first direction, toward and away from the processing apparatus, and which can reciprocate the transported object at first direction position, which is away in the first direction by the transported object from the first shelf and the port, in a second direction crossing the first direction; and a communicating device which receives a transfer request from the transporting vehicle, which can transmit a transfer permission to the transporting vehicle.
    Type: Application
    Filed: February 10, 2010
    Publication date: August 12, 2010
    Applicant: MURATEC AUTOMATION CO., LTD.
    Inventors: Kazutoshi Sawado, Yoshiaki Fujiwara, Masanao Murata, Takashi Yamaji, Naofumi Kirihata
  • Publication number: 20100158644
    Abstract: A semiconductor-processing apparatus includes: a wafer transfer chamber provided with a wafer transfer robot having an end effector therein, at least one reactor connected to the wafer transfer chamber, and a robot diagnostic module connected to the wafer transfer chamber for diagnosing the transfer robot. The robot diagnostic module includes at least one sensor for detecting a position of the end effector when the end effector is located inside the robot diagnostic module.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Applicant: ASM JAPAN K.K.
    Inventors: Masahiro Takizawa, Teruhide Nishino
  • Publication number: 20100143082
    Abstract: A system comprising a conveyor. A semiconductor processing tool has a lifter port. The tool is positioned near the conveyor, such that the lifter port is configured to transport a Front Opening Unified Pod (FOUP) between the conveyor and the lifter port. An upstream stocker and a downstream stocker are both co-located with the conveyor and the tool. The upstream and downstream stockers each have a respective storage space for the FOUP and a respective robotic device configured to transport the FOUP between its respective storage space and the conveyor. The upstream stocker is configured to receive the FOUP from an overhead transport (OHT) and deliver the FOUP to the conveyor. The downstream stocker is configured to receive the FOUP from the conveyor and deliver the FOUP to the OHT.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 10, 2010
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Cheng Wang, Feng-Ning Lee, Chi-Feng Tung, Mao-Lin Kao, Li-Jen Ko
  • Publication number: 20100111651
    Abstract: A tactile wafer lifting apparatus includes a pedestal and a vertical drive connected to the pedestal. The vertical drive is defined to provide controlled upward and downward movement of the pedestal. The tactile wafer lifting apparatus also includes a wafer support member disposed over the pedestal. A tactile switch is disposed between the wafer support member and the pedestal such that sufficient downward force on the wafer support member causes activation of the tactile switch. The tactile switch is connected to the vertical drive so as to interrupt upward movement of the pedestal and wafer support member disposed thereover upon activation of the tactile switch.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 6, 2010
    Applicant: Lam Research Corporation
    Inventors: Keith E. Dawson, Dave Evans
  • Publication number: 20100091281
    Abstract: An apparatus for detecting an edge of a transparent substrate includes a light source provided on a rear side of the edge of the transparent substrate, a first polarizer provided between the transparent substrate and the light source and arranged to convert light from the light source to linearly polarized light, a light receiving unit provided on a front side of the edge of the transparent substrate, and a second polarizer provided between the transparent substrate and the light receiving unit, and having a polarization axis that is perpendicular or substantially perpendicular to a polarization axis of the first polarizer.
    Type: Application
    Filed: February 12, 2008
    Publication date: April 15, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Masahiko Suzuki
  • Publication number: 20100074718
    Abstract: An automatic wafer storage system and a method of controlling the system are disclosed. The automatic wafer storage system includes an analysis module and a storage unit. The analysis module estimates the locations between an idle equipment, a transport tool, and the storage unit, so as to control the storage unit and the transport tool to move to the best location for the transport tool to receive wafers from the storage unit. After that, the transport tool carries the wafers to the idle equipment for processing.
    Type: Application
    Filed: March 31, 2009
    Publication date: March 25, 2010
    Applicant: INOTERA MEMORIES, INC.
    Inventors: HUAN-CHENG LIN, JUNG-PIN LAI
  • Publication number: 20100074717
    Abstract: An automatic transport system includes: an overhead rail module having a plurality of transport rail sets, the transport rail sets each defining a bay; a plurality of overhead hoist transport vehicles movably disposed in the overhead rail module; and a control module electrically connected to the overhead hoist transport vehicles, the control module being used to control the number of the overhead hoist transport vehicles in the bays. Via this arrangement, the control module keeps some overhead hoist transport vehicles staying in each bay, thereby preventing one of the bays from having no overhead hoist transport vehicle to immediately use. This invention further provides a control method of the automatic transport system.
    Type: Application
    Filed: February 4, 2009
    Publication date: March 25, 2010
    Applicant: INOTERA MEMORIES, INC.
    Inventors: YUNG CHIH HUANG, TSAN I CHEN, YU-KUN CHEN
  • Publication number: 20100061829
    Abstract: A truss assembly station may include a jigging table for assembling a truss thereon and a truss assembler. The truss assembler is disposed at a mobile gantry suspended a predetermined distance from a surface of the jigging table. The mobile gantry is configured to move relative to the surface. The truss assembler is configured to enable assembly of a truss from truss members by providing an automatic sequential placement of the truss members based at least in part upon a planned location of pre-plated truss members within an assembled truss.
    Type: Application
    Filed: July 31, 2009
    Publication date: March 11, 2010
    Inventors: David L. McAdoo, Timothy K. McAdoo, Danny Lee Rupe
  • Publication number: 20100034623
    Abstract: A pickoff system for removal of mail pieces one at a time from the end of a stack includes a pickoff belt mechanism, a sensor positioned to determine mail piece movement speed, and a measurement device determines belt movement speed during operation of the pickoff belt mechanism. A vacuum system includes a vacuum pump and a vacuum manifold positioned to apply suction to the mail piece in a direction that tends to hold the mail piece against the belt of the pickoff belt mechanism. A controller is configured to compare the belt movement speed and the mail piece movement speed during operation, and when mail piece movement speed is slower than belt movement speed, indicating slipping of the mail piece relative to the belt of the pickoff belt mechanism, the controller temporarily increases suction force applied to a mail piece being transported by the pickoff belt mechanism.
    Type: Application
    Filed: August 5, 2008
    Publication date: February 11, 2010
    Inventors: Simon Jan Krause, Bryan Stone, Edwin Bland, John Day, Uwe Maertin, Rajeev Dwivedi
  • Publication number: 20100021274
    Abstract: By adopting a workpiece transfer apparatus, which grips a workpiece by use of a predetermined grip device and transfers the workpiece between press apparatuses each of which drives a die, including a transfer control device for controlling a position of the grip device based on a resultant target value obtained by combining a die position of a press apparatus located on an upstream side of a workpiece transfer direction (an upstream side die position) and a die position of a press apparatus located on a downstream side of a workpiece transfer direction (a downstream side die position), in which the transfer control device sets a resultant target value so that the grip device moves smoothly, it becomes possible to suppress vibration in a workpiece transfer apparatus in a press line.
    Type: Application
    Filed: June 6, 2006
    Publication date: January 28, 2010
    Inventors: Takeshi Takahashi, Hajime Banno, Shusaku Yamasaki
  • Publication number: 20090324370
    Abstract: The invention provides a device (10) for feeding sample containers (18) with an analysis sample (P) to be treated, to a treating apparatus (12) for treating the analysis sample (P), in particular to a centrifuge. The device comprises a conveyer (26, 27) for conveying at least one sample container (14) or at least one carrier containing a sample container (14), wherein the conveyer (26, 27) is configured for receiving multiple sample containers (14) and/or carriers and forms a circulating endless conveyer track. The device further comprises an input station (18) for handing over a sample container (14) or a carrier to the conveyer (26, 27). The input station (18) is displaced (V1, V2), with respect to the conveyer (26, 27), obliquely to the conveying direction (26b, 27b) of the conveyer (26, 27).
    Type: Application
    Filed: June 23, 2009
    Publication date: December 31, 2009
    Applicant: Andreas Hettich GmbH & Co. KG
    Inventor: KLAUS-GUNTER EBERLE
  • Publication number: 20090314691
    Abstract: Methods and apparatus are provided that automatically determine whether or not eggs designated for removal from an egg carrier have been removed by an egg removal apparatus. Light is emitted along a path above and across an egg carrier as an egg picker moves to pick up an egg. The length of time that the light path is blocked when the egg picker is moved is measured and used to determine whether or not the egg has been removed from the carrier. Another apparatus and method is provided for detecting a malfunctioning egg picker. A detection device detects a number of eggs in the egg carrier. A control device monitors the egg picker and the detection device. The control device calculates the number of eggs in the egg carrier for determining when the number of eggs varies a predetermined amount from an egg count number for the egg carrier.
    Type: Application
    Filed: September 22, 2008
    Publication date: December 24, 2009
    Applicant: Pfizer Inc.
    Inventor: John H. Hebrank
  • Publication number: 20090317216
    Abstract: A system for detecting wear in a gripper chain of a chain conveyor is provided. The system includes an optical sensing system having a detection path located vertically beneath a portion of the gripper chain at a predetermined location. The detection path is located such that, when the gripper chain becomes elongated and droops a predetermined amount due to wear of the gripper chain, the sensing system senses the presence of the gripper chain in the detection path.
    Type: Application
    Filed: June 24, 2008
    Publication date: December 24, 2009
    Applicant: Pitney Bowes Inc.
    Inventors: Boris Rozenfeld, John W. Sussmeier
  • Publication number: 20090308327
    Abstract: An apparatus for displacing objects. The apparatus comprises a displaceable support and a holding device for holding objects that is fastened to the support (1). The holding device is detachably fastened to the support (1). An assembly of such an apparatus and a stable with a lying area and a walking area for calves and with a treatment place for calves. The holding device is a gripper or a container for holding a calf.
    Type: Application
    Filed: September 28, 2006
    Publication date: December 17, 2009
    Applicant: MAASLAND N.V.
    Inventor: Karel Van Den Berg
  • Publication number: 20090263216
    Abstract: The subject of the present invention is a method for removing pollution from a confined environment containing an interior space bounded by a wall, involving the following steps: the confined environment which has a leak is placed in a sealed chamber comprising means of introducing a gas and means of pumping a gas the gas contained in the chamber and the gas contained inside the space are simultaneously pumped through the leak so that the pressure difference across the wall is always below a wall-damaging threshold. Another subject of the invention is a device for removing pollution from a confined environment comprising: a pollution removal chamber able to contain the confined environment, means of introducing a purging gas, means of pumping a gas with variable pumping capacity, means for controlling the pumping rate, means for monitoring the pressure difference between the inside and the outside of the environment. mechanical warping that would damage the wall of the unsealed enclosed environment.
    Type: Application
    Filed: May 24, 2007
    Publication date: October 22, 2009
    Inventors: Arnaud Favre, Bertrand Bellet, Roland Bernard, Xavier Metais
  • Publication number: 20090252580
    Abstract: A semiconductor-processing apparatus includes: a wafer handling chamber; a wafer processing chamber; a wafer handling device; a first photosensor disposed in the wafer handling chamber in front of the wafer processing chamber at a position where the wafer partially blocks light received by the first photosensor at a ready-to-load position and substantially entirely blocks light received by the first photosensor when the wafer moves from the ready-to-load position toward the wafer processing chamber in the x-axis direction; and a second photosensor disposed in the wafer handling chamber in front of the wafer processing chamber at a position where the wafer does not block light received by the second photosensor at the ready-to-load position and partially blocks light received by the second photosensor when the wafer moves from the ready-to-load position toward the wafer processing chamber in the x-axis direction.
    Type: Application
    Filed: April 3, 2008
    Publication date: October 8, 2009
    Applicant: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Masaei Suwada, Masayuki Akagawa
  • Publication number: 20090245980
    Abstract: The vibrations of a second table supported on a first table that is movable in one horizontal direction can be controlled. A stage device includes an XY axis table movable in the X-direction, a Z-axis table supported by a support portion on the XY axis table, a pair of scales that are arranged apart from each other in the X-direction and detect the Z-direction velocity on the Z-axis table, a pair of motors that are arranged apart from each other in the X-direction and drive the Z-axis table in a vertical direction on the Z-axis-table, and a controller controls the drives of the motors based on the Z-direction velocity detected, in which the behavior of pitching vibrations in the Z-axis table is determined by detecting the Z-direction velocity with the scales, and the motors are driven and then the Z-axis table is rotated in a pitching direction so that the pitching vibrations are cancelled.
    Type: Application
    Filed: September 12, 2008
    Publication date: October 1, 2009
    Inventors: Ryuta Nakajima, Hidehiko Mashimo
  • Publication number: 20090245981
    Abstract: A plurality of detection marks that can be detected from outside are provided on a lid of a pod. Whether the lid is appropriately fixed on the pod main body is determined by detecting the presence of the marks at predetermined positions. Thus, whether the lid is appropriately fixed on the pod is detected in the operation of closing the pod opening with the lid in a FIMS system.
    Type: Application
    Filed: March 26, 2009
    Publication date: October 1, 2009
    Applicant: TDK CORPORATION
    Inventors: Toshihiko Miyajima, Mutsuo Sasaki
  • Publication number: 20090238664
    Abstract: Each storage provided for an storing apparatus is provided with: a driving device capable of reciprocating the load in a horizontal one direction and in a vertical direction; and a rack having a plurality of rack portions at a plurality of stages in the vertical direction, each stage including one or a plurality of rack portions in the horizontal one direction, the rack portion capable of accommodating or putting thereon the load to be displaced by the driving device. The storing apparatus is provided with a plurality of controllers, which control the loading and unloading in respective groups, each group comprising at least one or a plurality of storages of the plurality of the storages, and which can perform complementary control with each other.
    Type: Application
    Filed: January 29, 2009
    Publication date: September 24, 2009
    Applicant: ASYST TECHNOLOGIES JAPAN, INC.
    Inventors: Masanao MURATA, Takashi YAMAJI, Teruya YAMAJI
  • Publication number: 20090214326
    Abstract: A tray handling apparatus comprises a plurality of guides, a chuck device, a linear movement device, and a rack and pinion device. A space defined by the erected guides is used to hold a tray stack. The chuck of the chuck device is located at the center position of the bottom area of the space. The linear movement device is used to move the chuck relative to the guides. The rack and pinion device is used to cause the guides to move symmetrically. When an operator moves any one of the guides, the other guides move symmetrically and the center of the bottom area of the space surrounded by the guides remains at the same location during the movement of the guides. The operator does not need to adjust the position of the chuck if the positions of the guides are changed.
    Type: Application
    Filed: February 24, 2009
    Publication date: August 27, 2009
    Applicant: CHENG MEI INSTRUMENT TECHNOLOGY CO., LTD.
    Inventor: CHENG TAO TSAI
  • Publication number: 20090208313
    Abstract: A transport scenario composed of a basic transport (From) from a transport starting point to, e.g., a buffer near the transport destination point and a basic transport (To) from the buffer to the transport destination point is created in response to a transport request of a production controller for transport whose loading times at the transport starting point and at the transport destination point are specified. The buffer is reserved so as to perform the basic transport (From) and the basic transport (To), transport vehicles are allocated, the travel time to the transport starting point or the buffer and the travel time from the transport starting point or the buffer are estimated, and transport instructions are given to the transport vehicles. The possibility that the loading times are out of the specified period is evaluated. If the possibility is larger, the impossibility of a just-in-time transport is notified to the production controller.
    Type: Application
    Filed: April 25, 2007
    Publication date: August 20, 2009
    Applicants: MURATA KIKAI KABUSHIKI KAISHA, NIPPON TELEGRAPH AND TELEPHONE WEST CORPORATION, NIPPON TELEGRAPH AND TELEPHONE CORPORATION
    Inventors: Takao Hayashi, Hiroki Sone, Toyokazu Kobayashi, Yoshinori Yamada, Akiya Inoue, Masayuki Tsujino, Hiromichi Kawano
  • Publication number: 20090202336
    Abstract: Methods and systems are provided. The invention includes performing a handshake directly between a load port associated with process equipment and material handling equipment; and transferring a carrier between the material handling equipment and the load port based on the handshake. Numerous other aspects are provided.
    Type: Application
    Filed: February 11, 2009
    Publication date: August 13, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Vinay K. Shah, Sushant S. Koshti
  • Publication number: 20090196717
    Abstract: An apparatus and method of handling substrates is disclosed. A detecting system, capable of determining whether a substrate is tilted in relation to the platen, is positioned proximate to the substrate. In some embodiments, the detecting system is a distance measuring system. In other embodiments, it is an angle sensor. The detecting system is in communication with a controller, which, in turn, is in communication with a substrate handling robot. If, based on information received from the detecting system, the controller determines that the substrate is tilted beyond an acceptable range, it is assumed that the substrate has remained attached to the platen. In such a case, the substrate handling robot does not attempt to remove it from the platen. In this way, the substrate is not damaged.
    Type: Application
    Filed: January 29, 2009
    Publication date: August 6, 2009
    Inventor: Scott C. Holden
  • Publication number: 20090175708
    Abstract: A device for stabilizing an elongated steel sheet when continuously transporting the steel sheet in a transport direction along a predetermined transport path. The device includes at least a first pair, a second pair and a third pair of electromagnets with at least one electromagnet on each side of the steel sheet. The electromagnets are adapted to stabilize the steel sheet with respect to the predetermined transport path. The first and second electromagnets are elongated in a direction essentially perpendicular to the transport direction. The first and second electromagnets are substantially arranged on each side of a longitudinal center line for the steel sheet. The center line is essentially parallel to the transport direction. The third electromagnet is arranged adjacent to the center line.
    Type: Application
    Filed: March 23, 2006
    Publication date: July 9, 2009
    Applicant: ABB Research Ltd.
    Inventors: Peter Lofgren, Jan-Erik Eriksson, Mats Molander, Carl-Fredrik Lindberg, Conny Svahn
  • Publication number: 20090175709
    Abstract: A so-called transfer chamber in a semiconductor processing apparatus in which an FIMS system is secured is separated into a second chamber in which a transfer robot is disposed and a first chamber that is minute and includes a door capable of holding a cap of a pod as the FIMS system. In the second chamber, higher pressure than in the first chamber is maintained by a minute amount of nitrogen. In the first chamber, usually, a down flow of clean air is used via the FFU. When the wafer is transferred, a down flow of nitrogen is used. Thus, oxidation gas in the transfer chamber and released substances caused the FFU can be decreased.
    Type: Application
    Filed: December 19, 2008
    Publication date: July 9, 2009
    Applicant: TDK CORPORATION
    Inventors: TSUTOMU OKABE, TOSHIHIKO MIYAJIMA
  • Publication number: 20090175705
    Abstract: The present invention restrains, during a transfer of a substrate, a central portion of the substrate from being warped by its own weight, which might be caused by a super-enlargement of a diameter of the substrate. A substrate transfer apparatus 18 includes: a support part 17 which is moved above a substrate w of a large diameter; and an upside grip mechanism 28 disposed on the support part 17, the upside grip mechanism 28 capable of supporting a peripheral portion of the substrate w from above. The support part 17 is provided with a non-contact sucking and holding part 30 having a suction hole 31 and a blow hole 32. The non-contact sucking and holding part 30 sucks and holds the substrate w in a non-contact manner, by blowing a gas onto the central portion of the upper surface of the substrate w and sucking the central portion to form an air layer 50 such that the central portion of the wafer w is not warped.
    Type: Application
    Filed: April 23, 2007
    Publication date: July 9, 2009
    Inventors: Ken Nakao, Hitoshi Kato, Junichi Hagihara
  • Publication number: 20090169344
    Abstract: A substrate processing apparatus 1 has: sensors 21 and 22 provided in an etching chamber 14 and configured to detect a relative position between the etching chamber 14 and a wafer transfer mechanism 23; a control section 38 configured to correct positional displacement; a motor controller 39; a motor 28; and a motor 30. Since the positional displacement of a wafer W can be corrected, the wafer transfer mechanism 23 is capable of carrying the wafer W into the etching chamber 14 without causing any positional displacement, so that the wafer W can be placed on a susceptor 19 at a proper position.
    Type: Application
    Filed: February 27, 2009
    Publication date: July 2, 2009
    Inventors: Toshihisa Nozawa, Satoru Kawakami
  • Publication number: 20090155026
    Abstract: A method is provided for transferring a substrate from a first substrate holder, e.g., a pre-alignment unit, to a second substrate holder, e.g., a substrate table in a lithographic apparatus, by means of a transfer unit on the basis of transfer data available thereto. First, the substrate is provided on the first substrate holder. Subsequently, a position error of the substrate is measured, and positioning adjustment data are calculated based on the position error as measured. Then, the second substrate holder is moved relative to a reference position thereof in accordance with the positioning adjustment data. Finally, the substrate is transferred by means of the transfer unit from the first substrate holder to the second substrate holder in accordance with the transfer data, and placed on the second substrate holder as moved.
    Type: Application
    Filed: October 9, 2008
    Publication date: June 18, 2009
    Applicant: ASML Netherlands B.V.
    Inventors: Jozef Augustinus Maria ALBERTI, Gerardus Petrus Matthijs Van Nunen, Frans Erik Groensmit, Rene Theodorus Petrus Compen
  • Publication number: 20090148257
    Abstract: A test handler is disclosed in the present invention. The test handler may include a test tray on which a plurality of inserts are arrayed for loading at least one semiconductor device, at least one opening unit for simultaneously opening one part of the plurality of inserts which are arrayed on one part of the test tray, and a test tray transfer apparatus for allowing the opening unit to simultaneously open other parts of the plurality of inserts which are arrayed on another part of the test tray as the test tray is transferred. Therefore, although semiconductor devices to be tested change their sizes, the replaced parts of the test handler are reduced in number, thereby reducing manufacturing cost and replacement work time. The inventive test handler reduces semiconductor devices loading time, reduces jamming, increases teaching efficiency and improves space utilization efficiency. Furthermore, the test handler can be applied to various types of testers.
    Type: Application
    Filed: February 10, 2009
    Publication date: June 11, 2009
    Applicant: TECHWING CO. LTD
    Inventors: Jae-Gyun SHIM, Yun-Sung NA, In-Gu JEON, Tae-Hung KU, Jae-Sung PARK, Su-myung LEE
  • Publication number: 20090148265
    Abstract: Unstacker apparatus (1) for unstacking flat items (E), the unstacker apparatus comprising a flat item feed magazine (M) in which the flat items are disposed in a stack and on edge and are moved in a certain direction (D) until they reach an unstacking plate (6) disposed in alignment with said feed magazine, whereupon they are ejected one-by-one in a perpendicular direction (P) that is perpendicular to said certain direction (D), the unstacker apparatus further comprising a blower member (13) which is disposed so as to blow a jet of air onto the flat items, and which is mounted in a manner such as to be retractable into the unstacking plate.
    Type: Application
    Filed: June 12, 2007
    Publication date: June 11, 2009
    Applicant: SOLYSTIC
    Inventors: Damien Hugues, Robert Vivant
  • Publication number: 20090142169
    Abstract: A disk drive handling apparatus includes a manifold, one or more vacuum suction elements in fluid communication with the manifold, and one or more tips. Each tip is coupled to an end of a corresponding one of the vacuum suction elements. Each tip is compliant in one or more axes of motion.
    Type: Application
    Filed: November 26, 2008
    Publication date: June 4, 2009
    Inventors: Edward Garcia, Richard W. Slocum, III
  • Publication number: 20090142168
    Abstract: Substrate processing with return processing is carried out efficiently by a substrate processing apparatus that continuously processes a plurality of substrates. The apparatus is equipped with a conveyor chamber constituting a substrate convey space, a plurality of process chambers in which substrate processing is carried out, a substrate conveying device provided in the conveyor chamber having a function of conveying substrates, and a substrate convey control device that controls the process of substrate conveyance by the substrate conveying device so that in a case in which after a substrate is continuously processed by two or more process chambers, the substrate is re-conveyed from the last process chamber to any of the two or more process chambers other than the last and return processing is implemented. In re-conveyance, the substrate is conveyed to any of the process chambers after being temporarily retracted to a place other than a process chamber.
    Type: Application
    Filed: January 8, 2009
    Publication date: June 4, 2009
    Inventor: Satoshi Takano
  • Publication number: 20090123257
    Abstract: An arrangement is described that includes a compartmentalized structure having a multiplicity of compartments defined by associated links and each having a bottom surface. The arrangement also includes a multiplicity of fiducials. The fiducials are positioned at top surfaces of the links that surround each compartment such that each compartment includes at least one associated fiducial at the top surface of an adjacent link that defines the compartment. The arrangement further includes an adhesive layer positioned on the bottom surface of each compartment. The at least one fiducial that surrounds each compartment is suitable for use by a vision system to identify the location of the associated compartment.
    Type: Application
    Filed: November 12, 2007
    Publication date: May 14, 2009
    Applicant: NATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Mohd Sabri Bin Mohamad ZIN, Poh Chuan FONG, Woo Kuan YEE, Peter Chin Ting SOON
  • Publication number: 20090116936
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a reaction tube, a substrate holder, a gas nozzle, a heating unit, a temperature detector, and an exhaust unit. The reaction tube accommodates and processes substrates. The substrate holder holds substrates stacked at predetermined intervals in the reaction tube. The gas nozzle is installed along a stacked direction of the substrates. The heating unit heats the substrates. The temperature detector is installed along the stacked direction of the substrates. The exhaust unit exhausts an inside atmosphere of the reaction tube. Each of the gas nozzle and the temperature detector includes first and second parts and is supported by a narrow tube supporting member including first and second supporting parts. The first supporting part makes contact with the first part. The second supporting part is parallel with the second part and supports the second part.
    Type: Application
    Filed: October 21, 2008
    Publication date: May 7, 2009
    Inventors: Tetsuya MARUBAYASHI, Yasuhiro Inokuchi
  • Publication number: 20090110520
    Abstract: Embodiments of the present invention as recited in the claims generally provide an apparatus for transferring substrates in a processing system where the substrate is exposed to high temperatures. In one embodiment a blade for transporting a substrate is provided. The blade comprises a base having an arcuate lateral shoulder, a first finger extending outward from and perpendicular to the base, a second finger extending outward from the base and parallel to and spaced-apart from the first finger, a first support tab configured to support the substrate and positioned along the arcuate lateral shoulder, a second support tab configured to support the substrate and coupled with the first finger, and a third support tab configured to support the substrate coupled with the second finger, wherein the arcuate lateral shoulder extends from an outer edge of the first finger to an outer edge of the second finger.
    Type: Application
    Filed: October 30, 2008
    Publication date: April 30, 2009
    Inventors: Dinesh Kanawade, Craig R. Metzner, Chandrasekhar Balasubramanyam
  • Publication number: 20090104006
    Abstract: A storage (10) unloads and loads a load (3) with a transporting carriage (2). The storage is provided with a port capable of transferring said load from or to said transporting carriage; a plurality of rack portions (15) capable of accommodating or putting said load thereon; a driving device (19) capable of moving said load between said port and said plurality of rack portions, and mutually between said plurality of rack portions; and a controlling device (20) for controlling said driving device to (i) firstly move said load to an temporary rack portion for unloading, which is one of said plurality of rack portions, and (ii), after once accommodating or putting said load on said temporary rack portion, move said load to said port from said temporary rack portion, in case of moving said load from said plurality of rack portions to said port.
    Type: Application
    Filed: October 17, 2008
    Publication date: April 23, 2009
    Applicant: ASYST TECHNOLOGIES JAPAN, INC.
    Inventors: Masanao Murata, Takashi Yamaji
  • Publication number: 20090099681
    Abstract: A method of batching substrates in an automated processing tool, the automated process tool and a system for batching substrates in the automated process tool. The method includes selecting a first container containing a first group of substrates; simultaneously transferring each substrate of the first group of substrates into a batching station of the automated processing tool; selecting a second container containing a second group of substrates; selecting less than all substrates of the second group of substrates; and transferring each substrate of the less than all substrates of the second group of substrates to the batching station to form a third group of substrates.
    Type: Application
    Filed: October 16, 2007
    Publication date: April 16, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Russell Herbert Arndt, Michael Robert Biagetti, Robert J. MacHugh, Charles Jesse Taft
  • Publication number: 20090092476
    Abstract: A device is described for providing different auxiliary materials by a palleting robot for palleting objects at a palleting site. A palleting cell has storage sites, and the device is provided with a single supply site. A palleting cell is supplied with the auxiliary materials. The storage sites are arranged at a side of the supply site sequentially in a direction of transportation so that a gap of the auxiliary materials at one of the storage sites is closed by the auxiliary materials that are located at one of the storage sites and/or the supply site in the direction of transportation.
    Type: Application
    Filed: October 6, 2008
    Publication date: April 9, 2009
    Applicant: WINKLER + DUNNEBIER AG
    Inventor: Thomas Salm
  • Publication number: 20090092470
    Abstract: In one embodiment, an end effector having a first arm extending from an end effector support body, and a second arm extending from the end effector support body is provided. The first arm and the second arm have support extensions for supporting a peripheral region of a substrate, wherein the second arm and the first arm include sensors integrated thereon. The sensors are located at a distal end of the first and the second arms past the corresponding support extensions. The sensors are configured to indicate whether support arms for a container are within the travel path of the end effector in one embodiment. The end effector may be integrated into a system for transporting substrates.
    Type: Application
    Filed: October 2, 2008
    Publication date: April 9, 2009
    Inventor: Anthony C. Bonora