Charging Transporting Means With Load At Station Patents (Class 414/222.08)
  • Patent number: 11358810
    Abstract: Tooling (100) for picking up two-dimensional workpieces comprises a main body (110) and a plurality of holding elements (131, 132) arranged on the main body (110), wherein the holding elements (131, 132) are movable relative to the main body (110) independently of one another. Each of the holding elements (131, 132) is fastened in an end region of an arm (121.1 . . . 10, 122.1 . . . 10). The arms (121, 122) are movable passively relative to the main body (110) along a linear movement path in a longitudinal extension of each particular arm (121, 122). The tooling (100) comprises, for each of the arms (121, 122) a fixing apparatus, by means of which a position of each particular arm (121, 122) along the movement path is fixable. On account of its passive character, the tooling (100) according to the invention is lightweight and can be produced cost-effectively.
    Type: Grant
    Filed: March 1, 2018
    Date of Patent: June 14, 2022
    Assignee: Güdel AG
    Inventors: Adrian Stauffer, Markus Gerber, Lukas Müller
  • Patent number: 9745161
    Abstract: A device, method and system for receiving and submitting a substrate, the device including an XY table, the XY table having one or a plurality of sides for accepting a substrate, a set of manipulators coupled to the XY table, the manipulators configured to accept and register the substrate from the one or plurality of sides of the XY table, and a set of retractable dividers on the XY table configurable to register one or a plurality of substrates concurrently.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: August 29, 2017
    Assignee: Hewlett-Packard Industrial Printing LTD.
    Inventors: Yuval Dim, Eviatar Halevi
  • Publication number: 20150101754
    Abstract: Provided is an apparatus for treating a substrate. The apparatus for treating the substrate includes a carrying unit between a second chamber and a loadlock chamber. The carrying unit includes an arm, a blade for supporting the substrate, and a rotation driver for rotating the arm. The carrying unit disposed between the second chamber and the loadlock chamber receives the substrate transferred in the loadlock chamber to transfer the substrate onto a substrate supporter in the second chamber.
    Type: Application
    Filed: October 2, 2014
    Publication date: April 16, 2015
    Inventor: Seung Kook YANG
  • Publication number: 20150098772
    Abstract: An overhead catalyst loading device eliminates the need for lower flanges of the overhead catalyst structural support frame or dedicated overhead trolley beams to prevent ash build up collecting on a selective catalytic reactor (SCR) reactor box and provides an advantageous electric hydraulic lifting mechanism suitable for integration into a SCR device. The overall width of the SCR device is reduced since the structural support frame in the SCR device fits in the gaps between catalyst blocks. The overhead electric hydraulic catalyst loading device also minimizes the chance of injury during catalyst block installation by using an electric hydraulic actuated lifting mechanism consisting of spacers and structural telescopic members which may comprise holes and pins for height adjustment to allow for loading and unloading catalyst blocks into and out of a SCR device. Methods of lifting and loading and unloading a catalyst block are also presented therein.
    Type: Application
    Filed: October 6, 2014
    Publication date: April 9, 2015
    Inventors: Norman D. Nelson, Adam N. DePizzo, Walter L. Calhoun
  • Publication number: 20150078864
    Abstract: A substrate processing apparatus is provided to deposit a film including a reaction product on a substrate by repeating a supply cycle of sequentially supplying at least two kinds of reaction gases reactable with each other to a surface of the substrate in a chamber. The substrate processing apparatus includes a turntable provided in the chamber and having a concave portion for receiving the substrate formed in its surface and through-holes formed in the concave portion, a lifting mechanism including lift pins used when transferring the substrate placed on the concave portion, and a control unit configured to control the lifting mechanism. The control unit controls the lifting mechanism to carry the substrate out of the concave portion by moving the lifting pins upward in a vertical direction and inward in a radial direction of the turntable after the lifting pins contact the substrate through the through-holes.
    Type: Application
    Filed: September 10, 2014
    Publication date: March 19, 2015
    Inventors: Kaoru SATO, Kiichi TAKAHASHI
  • Publication number: 20150071740
    Abstract: A transportation apparatus which has a fast operating speed and can make an interference region with a press apparatus as small as possible is provided. The present invention is a transportation apparatus (10) provided with a pair of shift frames (1) arranged so as to be orthogonal to an advancing direction of a work (W); a pair of feed frames (2) arranged so as to be orthogonal to the shift frames (1); double-speed mechanisms (20) attached to the feed frames (2), respectively; and grasping means (21) attached to the double-speed mechanisms (20), respectively, wherein the feed frames (2) are slidable to the shift frames (1), and the double-speed mechanisms (20) are slidable to the feed mechanisms (2).
    Type: Application
    Filed: March 1, 2013
    Publication date: March 12, 2015
    Inventor: Syoichiro Fujii
  • Publication number: 20150056046
    Abstract: The device comprises two suction arms for picking up blister packs at a stamping station in a pick position and for placing the blister packs on conveying means in a place position, wherein the suction arms are pivotable in opposite directions. Each suction arm has three segments supported pivotably around three different axes. The transfer movement of the blister packs from the pick position to the place position and back is a combination movement, which is composed at least of the pivoting movement of the three segments around the three axes. The second, middle segments are pivoted inward toward the center plane both when in the pick position and in the place position. During the pivoting movements between the pick position and the place position, the second segments are pivoted away from each other so that the suction arms can pass by each other without colliding.
    Type: Application
    Filed: August 21, 2014
    Publication date: February 26, 2015
    Inventors: Bernd Haehnel, Roberto Linke
  • Publication number: 20140373875
    Abstract: Apparatus and methods for integrating tissue processors and embedding systems. An apparatus, of one aspect, includes a robot. The robot has a work envelope that encompasses a location having a tissue holder and an input of an embedding system. The tissue holder has at least one processed tissue. The robot is configured to transfer the tissue holder from the location to the input of the embedding system. A method, of one aspect, may include moving a robot to a location having a tissue holder. The tissue holder may have at least one processed tissue. The robot may engage with the tissue holder at the location. The robot may move the tissue holder from the location to an input to an embedding system. The robot may disengage from the tissue holder at the input to the embedding system. Other methods, apparatus, and systems are also disclosed.
    Type: Application
    Filed: September 8, 2014
    Publication date: December 25, 2014
    Inventors: Gilles Lefebvre, Robert E. Evans
  • Patent number: 8757180
    Abstract: In a processing block, a plurality of back surface cleaning units and a main robot are provided. The main robot is provided between the back surface cleaning units provided on one side of the processing block and the back surface cleaning units provided on the other side of the processing block. A reversing unit used to reverse a substrate and a substrate platform used to transfer and receive substrates between an indexer robot and the main robot are provided adjacent to each other in the vertical direction between the indexer robot and the processing block. The main robot transports substrates among the plurality of back surface cleaning units, the substrate platform, and the reversing unit.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: June 24, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Ichiro Mitsuyoshi
  • Publication number: 20140041748
    Abstract: The present application provides an automated beverage dispenser for use with a number of cups. The automated beverage dispenser may include a carousel with a number of shelves, a first actuator such that first actuator may maneuver the shelves in a first direction, a second actuator positioned adjacent to the carousel, and a gripper positioned on the second actuator such that the second actuator may maneuver one of the cups by the gripper in a second direction to one of the shelves of the carousel.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 13, 2014
    Applicant: THE COCA-COLA COMPANY
    Inventors: Andrew Mark Angus, Kenneth Andrew Nicoll, Arjun Vinoo Caprihan, Brendyn Murray Rodgers, Christopher John Leigh-Lancaster, Ian Stewart Fitzpatrick
  • Patent number: 8560108
    Abstract: Even when a module constituting a multi-module becomes an unavailable module, transfer of substrates can be promptly performed, while restricting generation of inferior products. When a destination module of a multi-module becomes unavailable before a substrate is transferred to the destination module, a destination of the substrate is changed to a module to which a substrate subsequent to the substrate is to be loaded. Upon generation of an unavailable module, before the transfer unit accesses the module on an upstream end of the transfer cycle, the transfer cycle proceeds until a precedent substrate becomes ready to be unloaded from the changed destination module. Alternatively, upon generation of an unavailable module, when the transfer unit is located on an upstream side of the unavailable module in the transfer cycle, the transfer operation of the transfer unit is made standby until a precedent substrate becomes ready to be unloaded in the changed destination module.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenichirou Matsuyama, Takeshi Matsumoto
  • Publication number: 20130236277
    Abstract: Workpieces are unloaded from a workpiece support of a sheet metal workpiece processing apparatus by positioning a blank sheet metal workpiece over a processed workpiece supported on a workpiece support, with the blank workpiece releasably held by a workpiece loader, activating a workpiece fixing device to engage an edge of the processed workpiece, and performing a relative sweeping movement between the workpiece fixing device and the workpiece support whereby the engaged processed workpiece is prevented from remaining on the workpiece support and is thereby unloaded. The workpiece fixing device is moved relative to the processed workpiece while the blank sheet metal workpiece is positioned over the processed workpiece.
    Type: Application
    Filed: April 22, 2013
    Publication date: September 12, 2013
    Applicant: Trumpf Corporation
    Inventors: Peter Bytow, Katsuya Enomoto
  • Publication number: 20120315395
    Abstract: The present invention aims to provide thin-film manufacturing equipment, a method for manufacturing a thin film, and a method for maintaining thin-film manufacturing equipment, which are capable of depositing with high productivity even in the occurrence of unexpected failure. Thin-film manufacturing equipment provided herein includes a group of deposition chambers that is a collection of deposition chambers each provided with a deposition compartment, in which a thin film is deposited on a substrate, a movable chamber designed to convey a substrate, and more than two substrate temporary holding devices each for temporarily holding a substrate, wherein the movable device is designed to deliver and receive the substrate to and from each of the deposition chambers and designed to perform at least one action selected from the group consisting of receiving and discharging of the substrate from and to each of the more than two substrate temporary holding devices.
    Type: Application
    Filed: February 9, 2011
    Publication date: December 13, 2012
    Applicant: KANEKA CORPORATION
    Inventors: Eiji Kuribe, Takeyoshi Takahashi
  • Publication number: 20120275886
    Abstract: A transport system includes a first track that passes above loading ports of the processing devices, and an overhead travelling vehicle that travels along the first track and includes a hoist. A second track is below the first track, passes above the loading ports, and is disposed in parallel or substantially in parallel with the first track. A buffer is provided below the second track at a position higher than the loading ports, and is arranged such that the articles can pass in a vertical direction over the loading ports. The buffer is disposed such that the articles can pass through the height position of the buffer in a vertical direction over the loading ports. A local vehicle travels along the second track and includes a hoist to perform delivery and receipt of the articles between the buffer and the loading ports.
    Type: Application
    Filed: October 28, 2011
    Publication date: November 1, 2012
    Applicant: MURATEC AUTOMATION CO., LTD.
    Inventor: Tatsuji OTA
  • Publication number: 20120247723
    Abstract: A water transfer apparatus and a wafer transfer method are provided. The wafer transfer apparatus is provided with a heating component and a cooling component, the heating component heats the wafer carrying component to a temperature the same as the wafer when it is just unloaded from the rapid thermal anneal tool, and the cooling component cools the wafer carrying component along with the wafer to room temperature, thereby avoiding the large temperature difference between the wafer and the wafer transfer apparatus, preventing the high thermal stress induced inside the wafer during wafer transfer, avoiding wafer breakage, and ensuring the completeness of the wafer.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 4, 2012
    Inventors: Chunlong Li, Junfeng Li
  • Patent number: 8078304
    Abstract: Electronic device manufacturing systems and methods are provided. In some aspects, a system having a dual-mode robot is provided which is disposed within a system component (e.g., a factory interface or transfer chamber) and adapted to operate in a first mode and a second mode. In the first mode, the robot may transfer a substrate between components of the system (e.g., between a carrier and a process chamber or chamber to chamber) and in the second mode, the robot may execute a process motion profile (e.g., metrology).
    Type: Grant
    Filed: July 20, 2008
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Jeffrey C. Hudgens, Todd J. Egan, Ingrid B. Peterson
  • Patent number: 8037991
    Abstract: A method is provided to regulate a conveying stream composed of articles of the tobacco-processing industry between at least one tray discharger and connected to at least one feed device by a conveying element that conveys a mass flow of the articles. The feed device has at least one chamber designed to receive the articles, and each chamber has at least two feed units running independently of each other to feed the articles to subsequent apparatuses. Each feed unit has an individual feed rate (P1, P2, . . . Pn). The method includes monitoring a level within the feed device using monitoring elements; sending a signal to each of the at least one tray dischargers for regulating the speed of transport of the conveying element and the feed rate (in articles/min) as a function of a current level within the feed device, and adapting the speed of transport to a desired level.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: October 18, 2011
    Assignee: Hauni Maschinenbau AG
    Inventor: Sven Kluwe
  • Publication number: 20110200414
    Abstract: An organic light-emitting (OLED) display panel cell transfer apparatus that includes: a base; a scribing table to cut OLED display panel sticks into cells; a cell transfer unit disposed above the base, to transfer the cells from the scribing table to a loading location; a first shuttle to receive the cells from the cell transfer unit, at the loading location, and to transfer the cells from the loading location to a testing location; and a second shuttle to receive the cells from the cell transfer unit, at the loading location, and to transfer the cells from the loading location to the testing location.
    Type: Application
    Filed: September 15, 2010
    Publication date: August 18, 2011
    Applicant: Samsung Mobile Display Co., Ltd
    Inventor: Jae-Pil LEE
  • Publication number: 20110108742
    Abstract: A system for loading workpieces into a process chamber for processing in a matrix configuration includes a conveyor configured to transport multiple workpieces in a linear fashion. A workpiece hotel is configured to receive the multiple workpieces from the conveyor. The workpiece hotel comprises a matrix of cells arranged in N columns and M floors. A pick blade is configured to insert into the hotel and retract from the hotel in order to unload a plurality of substrates from a first floor into a single row of the pick blade, and to repeat the unloading operation to form a matrix comprising a plurality of rows of substrates disposed on the pick blade. In one example, the workpiece hotel has a staggered configuration that provides individual accessibility of each hotel cell.
    Type: Application
    Filed: November 8, 2010
    Publication date: May 12, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: William T. Weaver, Jaime A. Carrera, Robert B. Vopat, Aaron Webb, Charles T. Carlson
  • Publication number: 20110005167
    Abstract: The present invention relates to a transfer line for the transfer of identical filled two-piece capsules between two successive processing stations comprising a and on unloading area, a plurality of identical transfer units each comprising a plurality of cavities each adapted to receive a capsule at the loading area and to let such capsule being unloaded at the unloading area, said plurality of cavities of each transfer unit being designed so as to receive in a first relative configuration the groups of filled two-piece capsules when located at a loading position in the loading area and so as to discharge these groups when located at an unloading position in the unloading area, a conveyor device adapted to temporarily and individually support the transfer units so as to transfer them from the loading position to the unloading position and vice-versa, while keeping them substantially in a same orientation in the loading and unloading positions, and at least from the loading position to the unloading position.
    Type: Application
    Filed: October 17, 2007
    Publication date: January 13, 2011
    Inventors: Gunther Victor Maria Emiel Van Goolen, Stefaan Jaak Vanquickenborne, Nigel Harrison
  • Patent number: 7780391
    Abstract: Processing chambers (3A-3F) for applying a process to a substrate W housed therein are provided at a periphery of a conveying chamber 2. A conveying case (4) houses the substrate (W) in a state isolated from an outside atmosphere. The conveyance case (4) has a gate valve (30) and a transfer mechanism (22). A conveying mechanism (5) supports the conveyance case 4, and carries the conveyance case (4) to a position for conveying in/conveying out a substrate. The number of processing chambers connectable to a conveying chamber is not limited, and conveyance to the processing chamber can be executed while maintaining a predetermined ambience for an atmosphere of a substrate that is to be processed.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: August 24, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takaaki Matsuoka, Katsuhiko Iwabuchi, Shigeru Ishizawa, Tsutomu Hiroki
  • Publication number: 20100178138
    Abstract: An apparatus for loading cartridges holding reactants into reactant chambers of a reactor is provided. The apparatus includes: at least one guide rail which transfers a cartridge; an extraction unit comprising at least one storage portion and a blocking portion, the extraction unit moving between a first position in which the blocking portion blocks an outlet of the guide rail and a second position in which the cartridge is output through the outlet of the guide rail and stored by the storage portion; a transfer unit which moves the reactor so that a chamber of the reactor is aligned with the storage portion; and an insertion unit which moves the cartridge from the storage portion into the chamber of the reactor.
    Type: Application
    Filed: August 18, 2009
    Publication date: July 15, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Do-gyoon Kim, Kwang-il Kim, Jong-myeon Park, Hyun-min Kim, Ho-jin Kim
  • Patent number: 7628895
    Abstract: Various apparatus and methods are provided for handling and transporting pairs of disks. A transfer tool engages a pair of disks in gap merge orientation along the outer perimeter edge of the disks and maintains the orientation while transferring the pair of disks to a second location where the disks are transferred to other equipment or subjected to processing. Various disks carriers are also provided for handling and transporting multiple pairs of disks in gap merge orientation.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: December 8, 2009
    Assignee: Seagate Technology LLC
    Inventors: Gerardo Buitron, John Grow
  • Patent number: 7578383
    Abstract: A specimen transport system for transporting a specimen, includes, a preceding section, a succeeding section located apart from the preceding section, a conveyor-type rack transport mechanism attached to the preceding section and/or the succeeding section and configured to transport a rack capable of holding a plurality of specimen containers which contain the specimen, and a car configured to travel between the preceding section and the succeeding section and provided with a conveyor-type rack transport mechanism configured to transport the rack capable of holding a plurality of specimen containers which contain the specimen.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: August 25, 2009
    Assignee: IDS Co., Ltd.
    Inventor: Teruaki Itoh
  • Publication number: 20090081009
    Abstract: A substrate treatment apparatus is disclosed. The substrate treatment apparatus includes: a cassette loading portion on which a cassette for containing a substrate is loaded when the cassette is carried to/from outside of the substrate treatment apparatus; a substrate treatment portion for performing a treatment on the substrate; a substrate carrying portion for carrying the substrate in the cassette loaded on the cassette loading portion to the substrate treatment portion, and carrying the substrate that has been subjected to the treatment by the substrate treatment portion to the cassette on the cassette loading portion; a vacant cassette loading portion on which the cassette caused to be vacant by carrying the substrate to the substrate treatment portion is temporarily loaded; and a vacant cassette transfer mechanism for transferring the vacant cassette between the vacant cassette loading portion and the cassette loading portion.
    Type: Application
    Filed: September 10, 2008
    Publication date: March 26, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yuichi Yamamoto, Akihiro Teramoto, Wataru Tsukinoki
  • Publication number: 20090024241
    Abstract: Electronic device manufacturing systems and methods are provided. In some aspects, a system having a dual-mode robot is provided which is disposed within a system component (e.g., a factory interface or transfer chamber) and adapted to operate in a first mode and a second mode. In the first mode, the robot may transfer a substrate between components of the system (e.g., between a carrier and a process chamber or chamber to chamber) and in the second mode, the robot may execute a process motion profile (e.g., metrology).
    Type: Application
    Filed: July 20, 2008
    Publication date: January 22, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Michael R. Rice, Jeffrey C. Hudgens, Todd J. Egan, Ingrid B. Peterson
  • Publication number: 20090003980
    Abstract: A method is provided to regulate a conveying stream composed of articles of the tobacco-processing industry between at least one tray discharger and connected to at least one feed device by a conveying element that conveys a mass flow of the articles. The feed device has at least one chamber designed to receive the articles, and each chamber has at least two feed units running independently of each other to feed the articles to subsequent apparatuses. Each feed unit has an individual feed rate (P1, P2, . . . Pn). The method includes monitoring a level within the feed device using monitoring elements; sending a signal to each of the at least one tray dischargers for regulating the speed of transport of the conveying element and the feed rate (in articles/min) as a function of a current level within the feed device, and adapting the speed of transport to a desired level.
    Type: Application
    Filed: June 26, 2008
    Publication date: January 1, 2009
    Applicant: Hauni Maschinenbau AG
    Inventor: Sven Kluwe
  • Patent number: 7033471
    Abstract: A Vacuum transport chamber for disk-shaped substrates, has a base plate structure has an interior surface which borders an interior of the chamber on one side thereof. A covering structure is situated parallel and opposite an interior surface of the base plate structure. The structure has at least two substrate passage openings which are adapted to a substrate disk surface. A transport device which is rotationally drivingly movable about a rotation axis perpendicular to the base plate structure. At least one substrate receiving device is brought into alignment with a respective one of the openings. A controlled sealing arrangement establishes an edge of at least one of the openings with the substrate holding device brought into alignment therewith and a substrate provided thereon.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: April 25, 2006
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Martin Dubs, Roman Schertler
  • Publication number: 20030106789
    Abstract: A vacuum chamber for transporting at least one workpiece has two or more openings defining respective opening areas for treating or handling the at least one workpiece. A transport device is arranged relative to the openings and includes a drive shaft rotatable around a drive shaft rotational axis. Two or more conveyors transport at least one workpiece. A linear driver is operationally independent to linearly move respective ones of the two or more conveyors relative to the drive shaft, with a drive component in a radial direction relative to the axis. An obstructing member is provided for closing the openings when one of the conveyors is positioned adjacent to the openings by rotating the transport device and is moved by the linear drive towards the opening.
    Type: Application
    Filed: July 17, 2001
    Publication date: June 12, 2003
    Applicant: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6343905
    Abstract: A substrate lift mechanism includes a plurality of fingers mounted on a hoop controlled by an actuator to transfer a substrate, such as a wafer or a flat panel display, from an edge grip arm to a chuck on a processing tool, such as integrated metrology tools. The substrate lift mechanism includes a base that is configured to be mounted to existing processing tools thereby allowing the processing tools to be adapted to be operable with new edge grip arms. The plurality of fingers are positioned to permit an edge grip arm to pass between two of the fingers and are configured to gravitationally support the substrate. Advantageously, the base of the substrate lift mechanism has a small footprint to minimize the space requirements of the processing tool to which it is mounted.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: February 5, 2002
    Assignee: Nanometrics Incorporated
    Inventors: Joseph T. Adams, Robert S. Kearns
  • Patent number: 6319373
    Abstract: A substrate transfer system is used in an in-line film deposition system. The substrate transfer system is provided with an auxiliary vacuum chamber and a main vacuum chamber. The auxiliary vacuum chamber has a plurality of first substrate cassettes. The main vacuum chamber is communicated with another vacuum chamber through which carriers are transferred along a transport path. The main vacuum chamber has two robots and a plurality of second substrate cassettes arranged in parallel on which the substrates is placed. The second substrate cassettes are arranged between the two robots. The substrates are disk-shaped substrates having center holes. The center holes are utilized as hook parts during a pickup operation. Thereby the method of mounting substrates in the holders of carriers etc. is improved without changing the operating speed of the robots. Therefore the amount of substrates transported per unit time is increased and the processing capacity of the substrate processing system is enhanced.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: November 20, 2001
    Assignee: Anelva Corporation
    Inventors: Terushige Takeyama, Nobuhito Miyauchi, Takashi Shiba
  • Patent number: 6190103
    Abstract: A substrate handling apparatus includes a transfer elevator and a process station disposed inside a load lock chamber. The process station includes a top module, a bottom module, and a seal ring which is disposed between the top module and the bottom module and is movable to open and close the process station. The transfer elevator has an upper station spaced above a lower station. A transfer device having a pair of transfer arms is used to transfer substrates between the transfer elevator and the process station. The transfer arms are movable toward one another to support a substrate and apart from one another to release the substrate. The transfer arms are mounted to rotate between the transfer elevator and the process station. When a substrate is being processed inside the process station, the transfer arms are disposed on opposite sides of the process station.
    Type: Grant
    Filed: March 31, 1999
    Date of Patent: February 20, 2001
    Assignee: GaSonics International Corporation
    Inventors: Shmuel Erez, Syed S. Basha, Art Hajjarian