Horizontal Movement Of Receptacle Contents Patents (Class 414/416.03)
  • Patent number: 11971661
    Abstract: A substrate processing apparatus includes a first unit block including a first substrate transfer region, a first and a second processing modules provided to face a first and a second sides of the first substrate transfer region in a left-right direction, and a first and a second transfer mechanisms provided at the first and the second sides and configured to deliver a substrate to the first and the second processing modules; a second unit block, stacked on the first unit block, including a second substrate transfer region and a third transfer mechanism; a substrate carry-in/out block provided at a first side of a stack of the unit blocks and configured to deliver the substrate to the first and the third transfer mechanisms; a relay block provided at a second side of the stack and configured to deliver the substrate to the second and the third transfer mechanisms.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: April 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoji Sakata, Masashi Tsuchiyama, Tsuyoshi Watanabe
  • Patent number: 11937441
    Abstract: Provided a light emitting device including a reflective layer including a plurality of nanostructures that are periodically two-dimensionally arranged, a planarization layer disposed on the reflective layer, a first electrode disposed on the planarization layer, an organic emission layer disposed on the first electrode, and a second electrode disposed on the organic emission layer, wherein the planarization layer includes a conductive material that is transparent with respect to light emitted by the organic emission layer, and wherein the planarization layer is disposed on upper surfaces of the plurality of nanostructures such that an air gap is provided between adjacent nanostructures of the plurality of nanostructures.
    Type: Grant
    Filed: May 30, 2023
    Date of Patent: March 19, 2024
    Assignees: SAMSUNG ELECTRONICS CO., LTD., RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
    Inventors: Juncheol Bae, Wonseok Jang, Wonjae Joo, Dongmok Whang
  • Patent number: 11932491
    Abstract: A transfer station configured to handle cargo receptacles, wherein the transfer station includes: a transfer device that includes at least one holder component configured to hold and release cargo receptacles, at least one platform configured to support the cargo receptacles, at least one transfer device frame extending in a vertical direction, in which the at least one platform is mounted in a vertically displaceable manner, the transfer device frame includes at least one lifting drive component configured to vertically displace the platform, a base member on which the transfer device frame is mounted, an electronic circuit configured to control the operation of at least one component of the transfer station.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: March 19, 2024
    Assignee: Pick8Ship Technology AG
    Inventor: Josef A. Haid
  • Patent number: 11728194
    Abstract: A wafer handling apparatus includes at least one load port, an image capturing device and a processor. The load port is configured to receive a wafer carrier. The image capturing device is configured to capture an image of the wafer carrier received in the load port before one or more wafers are inserted into the wafer carrier. The processor is communicably connected to the image capturing device and is configured to determine whether the wafer carrier is in a condition that is unsafe for wafer placement based on the image captured by the image capturing device.
    Type: Grant
    Filed: December 7, 2021
    Date of Patent: August 15, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Jih-Cheng Huang, Meng-Liang Wei
  • Patent number: 11706940
    Abstract: Provided a light emitting device including a reflective layer including a plurality of nanostructures that are periodically two-dimensionally arranged, a planarization layer disposed on the reflective layer, a first electrode disposed on the planarization layer, an organic emission layer disposed on the first electrode, and a second electrode disposed on the organic emission layer, wherein the planarization layer includes a conductive material that is transparent with respect to light emitted by the organic emission layer, and wherein the planarization layer is disposed on upper surfaces of the plurality of nanostructures such that an air gap is provided between adjacent nanostructures of the plurality of nanostructures.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: July 18, 2023
    Assignees: SAMSUNG ELECTRONICS CO., LTD., RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
    Inventors: Juncheol Bae, Wonseok Jang, Wonjae Joo, Dongmok Whang
  • Patent number: 11664254
    Abstract: A substrate processing apparatus includes a carrier block on which a carrier configured to store a substrate is placed, first processing block including a plurality of first processing modules, and a first transport mechanism shared by the plurality of first processing modules to transport the substrate, second processing block overlapping the first processing block, including a plurality of second processing modules, and a second transport mechanism shared by the plurality of second processing modules to transport the substrate, and configured to transport the substrate to the carrier block. The substrate processing apparatus includes a lifting and transferring mechanism including a shaft extending in a horizontal direction and a support part configured to face and support the substrate, and a rotation mechanism configured to rotate the support part around the shaft such that an orientation of the support part is changed between a first orientation and the second position.
    Type: Grant
    Filed: November 19, 2021
    Date of Patent: May 30, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi Watanabe, Masashi Tsuchiyama, Suguru Enokida, Taro Yamamoto
  • Patent number: 11623706
    Abstract: Production system for series production of in particular motor vehicles, includes a container storage area storing containers containing components for production, production shelves, remote from container storage area, from which workers remove components from containers. Transport for transporting containers from container storage area to production shelves. Transport has automated guided vehicle (AGV) on which transport shelf is situated and designed so containers are automatically delivered from transport shelf to production shelves in a conveying direction (delivery direction). Handling device situated on AGV, has a base body and a handling unit situated on base body. Handling unit removes containers from transport shelf in conveying direction and delivering containers to production shelf.
    Type: Grant
    Filed: March 6, 2019
    Date of Patent: April 11, 2023
    Assignee: Identytec GmbH & Co. KG
    Inventor: Thorsten Finke
  • Patent number: 11581198
    Abstract: There is provided a processing apparatus including: a processing part including a plurality of process modules connected to each other in a first room, and a loader module provided in the first room and accommodating a carrier which receives a substrate processed by each of the plurality of process modules; and a plurality of pump units corresponding to the plurality of process modules, respectively, and arranged in a second room adjacent to the first room, wherein an installation area of the plurality of pump units is equal to or smaller than that of the processing part.
    Type: Grant
    Filed: January 7, 2020
    Date of Patent: February 14, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masato Kadobe
  • Patent number: 11574831
    Abstract: Examples of the present invention provide an apparatus for transferring substrates and confining a processing environment in a chamber. One example provides a hoop assembly for use in a processing chamber. The hoop assembly includes a confinement ring defining a confinement region therein. A hoop body mates with the confinement ring. The hoop body is slanted to reduce a thickness across a diameter of the hoop body. Three or more lifting fingers are attached to the hoop body and extend downwards. Each of the three or more lifting fingers has a contact tip positioned radially inward from the hoop body to form a substrate support surface below and spaced apart from the confinement region.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: February 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Martin Jeffrey Salinas, Paul B. Reuter, Imad Yousif, Aniruddha Pal
  • Patent number: 11521881
    Abstract: A substrate treating apparatus includes a carrier platform, a transport mechanism, and a controller. The carrier platform places a carrier thereon. The carrier includes a plurality of shelves arranged in an up-down direction. The shelves are each configured to place one substrate thereon in a horizontal posture. The transport mechanism is configured to transport a substrate to a carrier placed on the carrier platform. The controller controls the transport mechanism. The transport mechanism includes a hand and a hand driving unit. The hand supports a substrate. The hand driving unit moves the hand. The controller changes a height position of the hand when the hand is inserted between two of the shelves adjacent to each other in the up-down direction, depending on a shape of a substrate taken from or placed on one of the shelves by the transport mechanism.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: December 6, 2022
    Inventors: Yuichi Takayama, Kazuhiko Nakazawa, Hiromichi Kaba, Toshihito Morioka, Takuya Sato
  • Patent number: 11498771
    Abstract: Provided are a parcel sorting system and method. The parcel sorting system is arranged in layers and includes: a parcel sorting layer located on an upper layer of the parcel sorting system, a moveable container carrying layer located on a lower layer of the parcel sorting system, a parcel delivery robot and a control device. The parcel sorting layer includes a modular entity platform that is a physical platform formed by splicing multiple splicable units and used for sorting parcels. The modular entity platform includes multiple delivery lattices arranged in an array and a traveling area constituted by gaps between the lattices and used for the parcel delivery robot traveling. One delivery lattices corresponds to one or more delivery path directions. The moveable container carrying layer includes multiple moveable containers, and a part of the containers are located below the lattices and receive the parcel from the parcel sorting layer.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: November 15, 2022
    Assignee: BEIJING GEEKPLUS TECHNOLOGY CO., LTD.
    Inventors: Hongbo Li, Fandi Yu, Kai Liu
  • Patent number: 11224976
    Abstract: An apparatus for loading/unloading workpieces, including a furnace heating a workpiece, and a robot loading and/or unloading a workpiece into/from the furnace. The robot may include a manipulator linkage and a fork at an end of the manipulator linkage. The fork may have an upper side on which a workpiece is placed while being loaded into and/or unloaded from the furnace. The fork may include a parallel arrangement of fork elements, each fork element in the fork having a length and rectangular cross section perpendicular to the length. Each fork element may have a workpiece carrying surface on which a workpiece is placed and an opposite surface to the workpiece carrying surface. The fork element may include a heat insulator disposed on the workpiece carrying surface at least over an area where a workpiece is placed to equalize longitudinal thermal expansions in the workpiece carrying surface and the opposite surface.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: January 18, 2022
    Assignee: TOYODA IRON WORKS CO., LTD.
    Inventors: Kenji Kushida, Nobuyuki Kawahara
  • Patent number: 10255785
    Abstract: Disclosed is a method and a system for monitoring of environment and security in a fabrication facility. In one embodiment, a method comprising: transporting an automated material handling system (AMHS) vehicle from a first position to a second position; and detecting at least one parameter using at least one sensor located on the AMHS vehicle to determine at least one environmental or security condition between the first and second positions.
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: April 9, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Jung Huang, Kuang-Huan Hsu, Yung-Lin Hsu
  • Patent number: 10090188
    Abstract: A robot subassembly including roll, pitch, and/or vertical orientation adjustability capability of a ceramic or glass end effector. The robot subassembly includes a robot component, a mounting plate coupled to the robot component, wherein the mounting plate includes adjustable orientation relative to the robot component, and a brittle ceramic or glass end effector coupled to the mounting plate. Methods of adjusting orientation between a robot component and the end effector, as well as numerous other aspects are disclosed.
    Type: Grant
    Filed: August 1, 2016
    Date of Patent: October 2, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Raj kumar Thanu, Damon K. Cox
  • Patent number: 9869021
    Abstract: A showerhead apparatus for a linear batch CVD system includes a movable showerhead, one or more gas supply conduits, and a translation mechanism. Each gas supply conduit provides a precursor gas to the showerhead. The showerhead includes conduits and channels arranged along the length of the showerhead to distribute precursor gas to the surfaces of substrates. The small distance between the substrates and the showerhead limits precursor gas flows from the channels to a small portion of each substrate beneath the showerhead. During a deposition process run, the translation mechanism causes the showerhead to move back and forth over the substrates along a direction perpendicular to a linear arrangement of the substrates. Parasitic deposition within the deposition chamber is substantially reduced in comparison to conventional showerhead apparatus. The ability to accurately control the precursor gas flows and the motion of the showerhead allows for improved thickness uniformity and device yield.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: January 16, 2018
    Assignee: Aventa Technologies, Inc.
    Inventors: Piero Sferlazzo, Dennis R. Stucky, Paul Thomas Fabiano, Darren M. Simonelli, Matthew C. Farrell, Robert P. Couilliard
  • Patent number: 9796489
    Abstract: A method for filling and closing pharmaceutical objects disposed in parallel rows in nests includes removing the objects from the nests at an inlet of a conveying device in a removal station and placing the objects into the conveying device. In the conveying device, the objects are fed in succession to a first weighing station and weighed, to filling station and filled and to a second weighing station and again weighed and to a closing station and/or crimping station and closed. After closing, the objects are removed from the conveying section. In every case, pre-sterilized objects are processed in an aseptic manner.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: October 24, 2017
    Assignee: Groninger & Co. GmbH
    Inventor: Josef Veile
  • Patent number: 9684014
    Abstract: A prober can suppress a decrease of a throughput in inspection of semiconductor devices on a substrate. The prober 10 includes a stage 11 having a horizontal mounting surface 11a that mounts thereon a wafer W on which semiconductor devices are formed; a probe card 16 provided to face the stage 11; three roller devices 26, each having a vertical rotational shaft, equally-spaced along a circumference of the mounted wafer W. Each roller device 26 is configured to rotate the wafer W on a horizontal plane while being in contact with a peripheral edge of the wafer W.
    Type: Grant
    Filed: February 11, 2015
    Date of Patent: June 20, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shuji Akiyama, Kazuya Yano, Isamu Inomata
  • Patent number: 9627238
    Abstract: A substrate transfer apparatus unloads a substrate from a transfer container in which a cover body airtightly closes a substrate unloading opening formed at a front surface of a container main body and multiple substrates are accommodated in the form of shelves. The substrate transfer apparatus includes a load port to which the transfer container is loaded; a detection unit configured to detect an accommodation status of the substrate in the container main body that is loaded to the load port and separated from the cover body; a substrate transfer device configured to enter the container main body and unload the substrate; and a correction device configured to correct the accommodation status of the substrate in the container main body before the substrate is unloaded from the container main body by the substrate transfer device when the detection unit detects abnormality in the accommodation status.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: April 18, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katsuhiro Morikawa, Ikuo Sunaka, Seiji Nakano, Kazunori Kuratomi, Toshio Shimazu
  • Patent number: 9570311
    Abstract: Methods of thinning a plurality of semiconductor wafers and apparatuses for carrying out the same are disclosed. A grinding module within a set of grinding modules receives and grinds a semiconductor wafer. A polishing module receives the semiconductor wafer from the grinding module and polishes the wafer. The polishing module is configured to polish the semiconductor wafer in less time than the grinding module is configured to grind the corresponding wafer.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: February 14, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Ting Kuo, Kei-Wei Chen, Ying-Lang Wang, Kuo-Hsiu Wei
  • Patent number: 9373533
    Abstract: Systems and methods for providing wafer access in a wafer processing system are disclosed herein. The methods may include docking a first wafer cassette on the wafer processing system and removing a selected wafer from the first wafer cassette with the wafer processing system. The methods further may include performing a process operation on the selected wafer with the wafer processing system and undocking the first wafer cassette from the wafer processing system while performing the process operation. The methods also may include docking a second wafer cassette (which may be the same as or different from the first wafer cassette) on the wafer processing system, inventorying the second wafer cassette with the wafer processing system, and/or subsequently placing the selected wafer in the second wafer cassette. The systems may include wafer processing systems that include a controller that is programmed to perform at least a portion of the methods.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: June 21, 2016
    Assignee: Cascade Microtech, Inc.
    Inventors: Frank Fehrmann, Botho Hirschfeld, Stojan Kanev
  • Patent number: 9335347
    Abstract: Disclosed herein is a cost effective, efficient, massively parallel multi-wafer test cell. Additionally, this test cell can be used for both single-touchdown and multiple-touchdown applications. The invention uses a novel “split-cartridge” design, combined with a method for aligning wafers when they are separated from the probe card assembly, to create a cost effective, efficient multi-wafer test cell. A “probe-card stops” design may be used within the cartridge to simplify the overall cartridge design and operation.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: May 10, 2016
    Assignee: ADVANTEST CORPORATION
    Inventors: John W. Andberg, Ira H. Leventhal, Matthew W. Losey, Yohannes Desta, Lakshmikanth Namburi, Vincent E. Lopopolo, Sanjeev Grover, Erik Volkerink
  • Patent number: 9214369
    Abstract: An apparatus for dynamically adjusting the pitch between substrates in a substrate stack comprises first and second lift portions. The first lift portion supports a first group of the plurality of substrates, and the second lift portion supports a second group of the plurality of substrates. The first and second lift portions are operable to move the first and second groups of substrates in a first direction independently from each other. This independent movement enables the pitch, or spacing, between adjacent substrates to be dynamically adjusted so that an end effector of a robot can be positioned between such adjacent substrates to pick one of the substrates without inadvertently engaging another substrate that is not being picked. Other embodiments are disclosed.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: December 15, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert B. Vopat, Jason M. Schaller, Jeffrey Charles Blahnik, Malcolm N. Daniel, Jr.
  • Patent number: 9117866
    Abstract: An apparatus for processing a wafer including a reaction chamber having a reaction space for processing the wafer, a susceptor positioned within the reaction chamber and having a sidewall, at least one light source positioned outside of the reaction space, at least one window in the reaction chamber, and wherein the at least one light source is directed through the at least one window to contact the sidewall.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: August 25, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: David Marquardt, John Shugrue
  • Patent number: 9004848
    Abstract: A feeding device includes a housing, a first conveying mechanism mounted on the housing, and a distribution mechanism. The housing forming a receptacle space includes a top surface defining a receiving opening communicated with the receptacle space. The distribution mechanism includes a slide platform slidably mounted on the top surface, a distribution member, and elastic members. The slide platform defines an unloading opening communicated with the receiving opening and a mounting groove adjacent to the unloading opening. The distribution member is movably mounted in the mounting groove. The elastic member elastically resists the distribution member to protrude out from the unloading opening. The stacked feeding trays resist the distribution member to move away from the unloading opening. When the top feeding tray is moved above the distribution member, the distribution member retracts by an elastic force to separate the top feeding tray from the stacked feeding trays located below.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: April 14, 2015
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Bing Li, Bo Yang, Yong Zhang
  • Patent number: 9004838
    Abstract: An apparatus for characterizing a wafer comprising an aligner comprising a chuck for receiving and rotating the wafer, a sensor for detecting the position of the wafer as it is rotated, a first actuator for lowering and raising the wafer vertically, and a second actuator for moving the chuck horizontally; and a weighing scale comprising a weight sensor disposed proximate to the aligner, and a cantilevered arm extending laterally from the weight sensor over the chuck of the aligner, the cantilevered arm having a through hole surrounding the chuck. The chuck is vertically movable relative to the weighing scale from a first position in which the wafer is supported by the chuck to a second position in which the wafer is supported by the cantilevered arm of the weighing scale. A method for characterizing a wafer using the instant apparatus is also disclosed.
    Type: Grant
    Filed: March 31, 2012
    Date of Patent: April 14, 2015
    Assignee: Microtronic, Inc.
    Inventors: Reiner G. Fenske, David S. Denu
  • Publication number: 20150044005
    Abstract: Disclosed is a container offload system for shipping containers, silos, and other storage containers. The offload system is comprised of a longitudinal body with spacers attached to the bottom section, in contact with a commodity. Commodity passes through gaps between the spacers into an offload channel. The commodity is offloaded from the container or silo through the offload channel. The offload system is built into containers or may be retrofitted. Furthermore, versions of the offload system may be retrofitted to fit in the hull of a marine vessel. In one version, the offload system is removable.
    Type: Application
    Filed: November 19, 2013
    Publication date: February 12, 2015
    Applicant: COMMODITY SHIPPING SOLUTIONS, LLC
    Inventor: Paul Christopher Pitts
  • Patent number: 8890018
    Abstract: A method for inspecting and sorting a plurality of IC units comprising the steps of: delivering a frame containing said IC units to a unit picking station; conducting a first inspection of said units during the delivering step and recording the subsequent result; removing said units from the frame, and moving said units from the unit picking station to a flipping station; conducting a second inspection of said units during the moving step and recording the subsequent result; flipping said units to expose an opposed face said units; conducting a third inspection of said opposed face and recording the subsequent result, then; sorting said units into categories based on the recorded results from the first, second and third inspecting steps.
    Type: Grant
    Filed: November 30, 2010
    Date of Patent: November 18, 2014
    Assignee: Rokko Systems Pte Ltd.
    Inventors: Jong Jae Jung, Yun Suk Shin, Hae Choon Yang, Deok Chun Jang
  • Patent number: 8851816
    Abstract: An apparatus for characterizing a wafer comprising an aligner comprising a chuck for receiving and rotating the wafer, a sensor for detecting the position of the wafer as it is rotated, a first actuator for lowering and raising the wafer vertically, and a second actuator for moving the chuck horizontally; and a weighing scale comprising a weight sensor disposed proximate to the aligner, and a cantilevered arm extending laterally from the weight sensor over the chuck of the aligner, the cantilevered arm having a through hole surrounding the chuck. The chuck is vertically movable relative to the weighing scale from a first position in which the wafer is supported by the chuck to a second position in which the wafer is supported by the cantilevered arm of the weighing scale. A method for characterizing a wafer using the instant apparatus is also disclosed.
    Type: Grant
    Filed: March 31, 2012
    Date of Patent: October 7, 2014
    Assignee: Microtronic, Inc.
    Inventors: Reiner G. Fenske, David S. Denu
  • Publication number: 20140294546
    Abstract: The present invention discloses apparatuses and method for configuring a compartmentable equipment to accommodate emergency responses. An exemplary equipment comprises a plurality of removable compartments for storing workpieces so that in emergency events, such as power failure or equipment failure, the workpieces can be removed from the equipment for continuing processing without disrupting the flow of the fabrication facility. The compartmentable equipment can comprise emergency access ports, including mating interface to a portable workpiece removal equipment to allow accessing the individual compartments without compromising the quality, defects and yield of the workpieces stored in the stocker.
    Type: Application
    Filed: June 16, 2014
    Publication date: October 2, 2014
    Inventor: Lutz Rebstock
  • Patent number: 8807616
    Abstract: A robot hand includes a mounting surface to which a work unit is mounted with some freedom of horizontal movement. A pair of anti-fall hooks is formed at a tip portion of the mounting surface to support a front edge portion of the work unit so as to prevent the work unit from falling off the mounting surface. A pair of support section is provided at a rear edge portion of the mounting surface to support a rear edge portion of the work unit. If, during placement of the work unit into a cassette, the work unit collides with the cassette, the work unit comes into contact with one of the support sections, and the work unit is rotated on the mounting surface about the contact point as a fulcrum so as to correct the misalignment of the work unit.
    Type: Grant
    Filed: June 13, 2013
    Date of Patent: August 19, 2014
    Assignee: Disco Corporation
    Inventor: Satoshi Yamanaka
  • Patent number: 8754381
    Abstract: The present invention provides a method for inspecting UV illuminance in multi-level UV bake furnace for TFT-LCD manufacturing process and a pickup assembly device for performing the method. The method for inspecting UV illuminance in multi-level UV bake furnace for TFT-LCD manufacturing process includes the following steps: Step 1: providing a multi-level bake furnace for TFT-LCD manufacturing process, a pickup device, an inspection control system, and a sensor for inspecting UV illuminance; Step 2: mounting the sensor on the pickup device; Step 3: connecting the inspection control system and the sensor with communication; Step 4: operating the pickup device to bring the sensor to a site in a level of the multi-level UV bake furnace where inspection of UV illuminance is to be made; and Step 5: sensor collecting data and transmitting the data so collected to the inspection control system to thereby realize inspection.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: June 17, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventors: Fengming Yin, Wenpin Chiang
  • Patent number: 8753063
    Abstract: The present invention discloses apparatuses and method for configuring a compartmentable equipment to accommodate emergency responses. An exemplary equipment comprises a plurality of removable compartments for storing workpieces so that in emergency events, such as power failure or equipment failure, the workpieces can be removed from the equipment for continuing processing without disrupting the flow of the fabrication facility. The compartmentable equipment can comprise emergency access ports, including mating interface to a portable workpiece removal equipment to allow accessing the individual compartments without compromising the quality, defects and yield of the workpieces stored in the stocker.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: June 17, 2014
    Assignee: Dynamic Micro Systems, Semiconductor Equipment GmbH
    Inventor: Lutz Rebstock
  • Patent number: 8738174
    Abstract: Provided is a substrate processing apparatus for loading substrates such as solar cell substrates on a tray in substrate processing equipment for processing a large number of substrates. The substrate processing apparatus includes: a tray carrying unit configured to receive and carry a tray; a substrate loading conveyor unit on which substrates to be loaded on a tray are arranged in a line; a substrate unloading conveyor unit on which substrates unloaded from a tray are arranged in a line; a first substrate carrying robot configured to pick up substrates from the substrate loading conveyor unit and carry the substrates to a tray placed on the tray carrying unit; and a second substrate carrying robot configured to pick up substrates from a tray placed on the tray carrying unit and carry the substrates to the substrate unloading conveyor unit.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: May 27, 2014
    Assignee: Semes Co., Ltd.
    Inventors: Jaehyun You, Kyo Woog Koo
  • Patent number: 8696293
    Abstract: A component transfer device includes a holding mechanism (20) that positions and holds a component on a carrying surface (S) located at a predetermined height and a pull-out unit (40) that pulls out the component held on the carrying surface by the holding mechanism in a horizontal direction. The pull-out unit includes a grasping member (43, 44) that can separably grasp the component from a vertical direction, a cam member (42) that causes the grasping member to perform a component grasping operation and a component releasing operation at predetermined timings by exercising a cam function to the grasping member, a driving mechanism (41, 46, 47) that drives the cam member and the grasping member.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: April 15, 2014
    Assignee: Hirata Corporation
    Inventors: Katsuyoshi Tachibana, Soichi Tateno, Toshihito Seki
  • Publication number: 20130195591
    Abstract: A robot system according to an aspect of the embodiments includes a plurality of work holding units and a heat insulating member. The work holding units each hold a work to be conveyed on one surface and are arranged vertically one over another in some cases during conveying of the work. The heat insulating member is provided on another surface side of at least one of the work holding units.
    Type: Application
    Filed: September 11, 2012
    Publication date: August 1, 2013
    Applicant: KABUSHIKI KAISHA YASKAWA DENKI
    Inventors: Ryuji ANDO, Kazunori HINO, Akihiro FURUTANI
  • Patent number: 8489237
    Abstract: Methods correcting wafer position error are provided. The methods involve measuring wafer position error on a robot during transfer to an intermediate station. This measurement data is then used by a second robot to perform wafer pick moves from the intermediate station with corrections to center the wafer. Wafer position correction may be performed at only one location during the transfer process. Also provided are systems and apparatuses for transferring wafers using an intermediate station.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: July 16, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Damon Genetti
  • Patent number: 8461857
    Abstract: The present invention relates to a distance adjustment system and a solar wafer inspection machine provided with the system. The inspection machine has a conveyer for carrying a solar wafer, an optical inspection system for inspecting the surface and color appearance of the wafer and an illumination inspection system. A holder is provided in the inspection position where the wafer is clamped along its width direction to prevent the wafer from offset. During the opto-electrical inspection, probes are brought into contact with conductive buses of the wafer and light is applied to the wafer to allow the probing of electric energy thus generated. An adjusting device is employed to adjust the clamping gap of the holder and the distance of the probes in accordance with the size of the solar wafer. The data are collected and transmitted to a sorting system for sorting the wafer.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: June 11, 2013
    Assignee: Chroma Ate Inc.
    Inventor: Chia-Hung Lai
  • Patent number: 8371795
    Abstract: A workpiece transfer system may include a plurality of cassettes for storing workpieces, at least one workpiece processing apparatus, and a multi-joint industrial robot for loading and unloading workpieces to/from the cassettes. The multi-joint industrial robot may include a hand part for holding a workpiece, an arm part supporting the hand part so as to make the hand part rotatable, and a link mechanism that supports an arm joint part, positioned at a base side of the arm part, so as to make the arm joint part rotatable.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: February 12, 2013
    Assignee: Nidec Sankyo Corporation
    Inventors: Yasuyuki Kitahara, Shigeyuki Kaino
  • Patent number: 8337134
    Abstract: A device for stacking a plurality of silicon wafers has an upright machine carrier for holding a plurality of silicon wafers, the silicon wafers being provided in a horizontal position in the machine carrier. The device has three identical loading cassettes for introducing several silicon wafers therein. The machine carrier has holding devices for the loading cassettes. The loading cassettes have a lower support surface for putting silicon wafers on in stack-like fashion, and a rear side wall running substantially perpendicular thereto. The loading cassette is designed in such a way that the side opposite the rear side wall, and the top side are freely accessible.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: December 25, 2012
    Assignee: Schmid Technology Systems GmbH
    Inventors: Reiner Greber, Marijan Strugar, Thomas Schultze
  • Patent number: 8303231
    Abstract: An apparatus for semiconductor wafer transfer comprises a first region for placement of a pod, a second region for placement of a cassette, an unloading mechanism, and a transferring mechanism for transferring wafers in the unloaded pod to the cassette horizontally. In an embodiment, the pod is unloaded by lifting the housing of the pod, and preferably the apparatus for movement of semiconductor wafers further comprises a carrying mechanism for moving the cassette toward the pod, so that the cassette can be closer to the pod for smoothing wafer transfer.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Yeh-Hsin Yu, Jian-Hung Chen, Chia Ho Chuang, Hsueh Cheng Wu
  • Patent number: 8126587
    Abstract: An apparatus for recognizing and processing information of electronic parts includes a seating unit on which electronic parts are seated and aligned and a part information processing unit disposed adjacent to the seating unit. The part information processing unit is configured to align the electronic parts using the seating unit, recognize a recognition surface of the electronic parts, obtaining part information of the recognized surface, and store the obtained part information.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: February 28, 2012
    Assignee: Samsung Techwin Co., Ltd.
    Inventors: Ja-Hyun Koo, Man-Hee Lee, Sun-Jeong Kang
  • Patent number: 8061950
    Abstract: A container packer system includes a transfer base, which receives a container packer adapted for movement longitudinally between retracted and extended positions with respect to the transfer base. The transfer base includes a power subsystem with a motor or engine driving an hydraulic pump for powering hydraulic piston-and-cylinder units of the system, including a container packer piston-and-cylinder unit for hydraulically extending and retracting the container packer. The container packer generally encloses an interior adapted for receiving bulk material for transfer to a transport container, which can comprise a standard shipping container, a trailer or some other bulk material receptacle. The container packer includes a push blade assembly longitudinally movably mounted in its interior and actuated by a push blade piston-and-cylinder unit.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: November 22, 2011
    Assignee: GEFOO, Inc.
    Inventors: Gregory D. Haub, Chris D. Bartel
  • Patent number: 8060252
    Abstract: Methods correcting wafer position error are provided. The methods involve measuring wafer position error on a robot, e.g. a dual side-by-side end effector robot, during transfer to an intermediate station. This measurement data is then used by a second robot to perform wafer pick moves from the intermediate station with corrections to center the wafer. Wafer position correction may be performed at only one location during the transfer process. Also provided are systems and apparatuses for transferring wafers using an intermediate station.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Damon Genetti
  • Patent number: 7872797
    Abstract: A device for histological research includes at least one container with shelves for slide trays connected to a flexible drive enabling reciprocating vertical motion of the container. The drive comprises a circular drive cable, a motor and at least one pulley. The device also includes a horizontal pusher provided on the working end with a tool for gripping the slide tray and moving it into the working zone outside the container and then back into the container, as well as a slide gripper capable of moving horizontally over the working zone in the direction perpendicular to that of the horizontal pusher.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: January 18, 2011
    Inventor: Ilya Borisovich Izvozchikov
  • Patent number: 7744330
    Abstract: A container packer system includes a transfer base, which receives a container packer adapted for movement longitudinally between retracted and extended positions with respect to the transfer base. The transfer base includes a power subsystem with a motor or engine driving an hydraulic pump for powering hydraulic piston-and-cylinder units of the system, including a container packer piston-and-cylinder unit for hydraulically extending and retracting the container packer. The container packer generally encloses an interior adapted for receiving bulk material for transfer to a transport container, which can comprise a standard shipping container, a trailer or some other bulk material receptacle. The container packer includes a push blade assembly longitudinally movably mounted in its interior and actuated by a push blade piston-and-cylinder unit.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: June 29, 2010
    Assignee: Blue Tee Corporation
    Inventors: Gregory D. Haub, Chris D. Bartel
  • Patent number: 7717661
    Abstract: A compact multiple diameter wafer testing device with a footprint of about 33 by 34 inches features on-chuck wafer calibration and integrated cassette-chuck transfer. It includes a five axes wafer handling system, a quick exchange chuck and a fixed through beam sensor fixed. Two of the five axes are provided by an X-Y stage, a third axis is provided by a rotary stage on top of the X-Y stage, a fourth axis belongs to a rotating effector and a fifth axis is provided by motion controlled pin lifters all combined with the X-Y stage. The quick exchange chuck may be easily changed for different wafer diameters and also calibrated by the through beam sensor. The through beam sensor provides on-chuck position calibration of the chucked wafers in conjunction with the X-Y stage and rotary stage. The compact wafer testing device handles wafers between six and twelve inches diameter.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: May 18, 2010
    Assignee: n&k Technology, Inc.
    Inventors: Marc T. Aho, Thaddeus J. Wilson
  • Patent number: 7706907
    Abstract: A substrate processing apparatus is provided, which can place a substrate in a correct position, even though a positional error occurs between the substrate carried in a processing vessel and a placing table. This substrate processing apparatus can take a necessary action immediately against a positional error of the substrate generated when the substrate is separated from the placing table after completion of a process. The plasma apparatus 1 includes a processing vessel 11, lifting pins 34 provided in the processing vessel 11, and a detecting head 22. A wafer W is carried into the processing vessel 11 from the exterior of the processing vessel 11 by using a carrying apparatus 6, and is stopped in a transferring position above the lifting pins 34.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: April 27, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 7699575
    Abstract: A loading assembly is provided that is configured to load transport containers with bulk material quickly and efficiently. A container support assembly is also provided that facilitate alignment and stable support of the container throughout loading. In use, a load bin of the loading assembly is inserted and retracted relative to the container by a drive mechanism. The barrier assembly confines the contents of the load bin in the container while the bin is retracted, allowing the contents of the bin to remain within the container upon removal of the bin. The container support assembly includes left and right ramps disposed along side the container. The ramps each include a support surface having an increasing height differential with reference to the ground from the distal end to the proximal end. The support assembly further includes an interface assembly configured to attach to the container and to engage the support surfaces of the left and the right ramps to distribute load onto the ramps.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: April 20, 2010
    Inventor: Nathan Frankel
  • Patent number: 7682123
    Abstract: An improved apparatus and method is provided for storing semiconductor wafer carriers, and for loading wafers or wafer carriers to a fabrication tool. The apparatus preferably provides an elevated port for receipt of wafer carriers from an overhead factory transport, allows for local interconnection among a plurality of the inventive apparatuses, and enables independent loading of the factory load port and the tool load port. An inventive wafer handling method which divides a lot of wafers into sublots and distributes the sublots among tools configured to perform the same process is also provided.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Patent number: 7618225
    Abstract: Disclosed is a six-bar linkage positioning mechanism mounted inside a clean container formed of a locating member and a four-bar linkage and a driving module which, when moved, has the slide of the driving module stopped at the base of the cleaning container and be forced to move upwards relative to a sliding way inside the locating member and to cause the driving link of the driving module to drive the four-bar linkage upwards and to further force the second link of the four-bar linkage to push workpieces in respective insertion slots in a cassette on the clean container. The six-bar linkage positioning mechanism has only one degree of freedom so that it moves workpieces horizontally in the cassette into position by means of contact at a point, preventing contamination due to friction and also improving moving stability.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: November 17, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Kuan-Chou Chen, Tzong-Ming Wu