Including Heating Patents (Class 430/330)
  • Patent number: 7972761
    Abstract: A material for use in lithography processing includes a polymer that turns soluble to a base solution in response to reaction with acid and a plurality of magnetically amplified generators (MAGs) each having a magnetic element and each decomposing to form acid bonded with the magnetic element in response to radiation energy.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: July 5, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Cheng Wang, Chin-Hsiang Lin, H. J. Lee, Ching-Yu Chang, Hua-Tai Lin, Burn Jeng Lin
  • Patent number: 7972755
    Abstract: There is disclosed a substrate processing method by a multi-patterning technique, which comprises a lithography process and an etching process, each of the processes is performed to one substrate at least twice. The substrate processing method is performed by using a substrate processing system comprising a plurality of process units for performing respective steps of the lithography process. When a second lithography process is performed to a substrate, process unit(s) for performing one or more steps of the second lithography process to be used in the second lithography process is automatically selected based on the process history of the first lithography process in such a way that the process unit(s) to be used in the second lithography process is (are) identical to the processed unit(s) used in the first lithography process.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: July 5, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichi Yamamoto
  • Patent number: 7968273
    Abstract: Methods for forming or patterning nanostructure arrays are provided. The methods involve formation of arrays on coatings comprising nanostructure association groups, formation of arrays in spin-on-dielectrics, solvent annealing after nanostructure deposition, patterning using resist, and/or use of devices that facilitate array formation. Related devices for forming nanostructure arrays are also provided, as are devices including nanostructure arrays (e.g., memory devices). Methods for protecting nanostructures from fusion during high temperature processing are also provided.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: June 28, 2011
    Assignee: Nanosys, Inc.
    Inventors: Jian Chen, Xiangfeng Duan, Chao Liu, Madhuri L. Nallabolu, J. Wallace Parce, Srikanth Ranganathan
  • Patent number: 7968260
    Abstract: The present invention has: a first step of measuring, as an initial condition of a substrate, any of a film thickness of a processing film on the substrate, a refractive index of the processing film, an absorption coefficient of the processing film, and a warpage amount of the substrate; a second step of estimating a dimension of a pattern of the processing film after predetermined processing from a previously obtained first relation between the initial condition and the dimension of the pattern of the processing film based on a measurement result of the initial condition; a third step of obtaining a correction value for a processing condition of the predetermined processing from a previously obtained second relation between the processing condition of the predetermined processing and the dimension of the pattern of the processing film based on an estimation result of the dimension of the pattern; a fourth step of correcting the processing condition of the predetermined processing based on the correction valu
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: June 28, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masahide Tadokoro, Kunie Ogata
  • Patent number: 7968274
    Abstract: An optical waveguide manufacturing method which obviates a developing step and stably provides greater differences in refractive index between a core and cladding layers. A core formation photosensitive resin layer is formed on a surface of an under-cladding layer, and then exposed in a predetermined pattern. A core is formed which is defined by an exposed portion of the core formation photosensitive resin layer. Surfaces of the exposed portion and an unexposed portion of the core formation photosensitive resin layer are covered with an over-cladding layer formation photosensitive resin layer. The two photosensitive resin layers are heated, whereby a resin of the unexposed portion of the core formation photosensitive resin layer and a resin of the over-cladding layer formation photosensitive resin layer are melt-mixed together to form a mixture layer. Then, the mixture layer is exposed, whereby a third cladding layer is formed defined by the exposed mixture layer.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: June 28, 2011
    Assignee: Nitto Denko Corporation
    Inventor: Yusuke Shimizu
  • Patent number: 7968275
    Abstract: A photoresist composition for immersion lithography and a method of forming a photoresist pattern using the photoresist composition are disclosed. The photoresist composition includes a photosensitive polymer including a cycloaliphatic group blocked with at least two cyclic acetal groups as a side chain, a photoacid generator and an organic solvent. The hydrophobic photoresist composition may be changed into the hydrophilic photoresist composition by an exposure process. Thus, before the exposure process, the photoresist composition may be insoluble in a liquid for the immersion lithography. After the exposure process, an exposure portion of a photoresist film formed using the photoresist composition may be effectively dissolved in a developing solution to form a uniform photoresist pattern.
    Type: Grant
    Filed: December 5, 2008
    Date of Patent: June 28, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok Han, Young-Hoon Kim, Hyo-Sun Kim
  • Patent number: 7964336
    Abstract: The present invention is to provide a method for forming various patterns such as a metal or metal compound pattern, in which the amounts of the materials constituting the pattern which are removed during the formation step can be suppressed to the minimum. The method comprises a resin pattern forming step of forming on the surface of a substrate a resin pattern capable of absorbing a solution containing metal components, an absorbing step of dipping the resin pattern in the solution containing metal components to make the resin pattern absorb the solution containing metal components, a washing step of washing the substrate having formed thereon the resin pattern that has absorbed the solution containing metal components, and a burning step of burning the resin pattern after washing.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: June 21, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tsuyoshi Furuse, Masahiro Terada, Shosei Mori
  • Publication number: 20110143289
    Abstract: A PEB unit has a first heat plate and a second heat plate. After an exposure process for a resist film for EUV on a wafer and before a development process, the PEB unit heats the wafer through the first heat plate at a first heating temperature. A heating time through the first heat plate is not less than 10 seconds and not more than 30 seconds. Thereafter, the PEB unit heats the wafer through the second heat plate at a second heating temperature lower than the first heating temperature. A temperature difference between the first heating temperature and the second heating temperature is not less than 20° C. and not more than 60° C.
    Type: Application
    Filed: December 1, 2010
    Publication date: June 16, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiaki YAMADA, Keiichi TANAKA, Hitoshi KOSUGI
  • Patent number: 7960095
    Abstract: Resist compositions having good footing properties even on difficult substrates are obtained by using a combination of base additives including a room temperature solid base, and a liquid low vapor pressure base. The compositions are especially useful on metal substrates such as chromium-containing layers commonly used in mask-making.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: June 14, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wayne M. Moreau, Marie Angelopoulos, Wu-Song Huang, David R. Medeiros, Karen E. Petrillo
  • Patent number: 7955783
    Abstract: A method for masking regions of photoresist in the manufacture of a soldermask for printed circuit boards is disclosed. Following application of photoresist over patterned traces on a substrate, a sheet-like thin film is applied over the photosensitive material. The thin film may adhere to the photosensitive material by way of the adhesive state of the photosensitive material or by way of an adhesive applied to the photosensitive material or the thin film or carried by the thin film. Digital mask printing may proceed on the surface of the thin film. The photosensitive material may then be exposed through the printed photomask, the thin film (with photomask) removed, and the photosensitive material developed.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: June 7, 2011
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Eric Shrader, Uma Srinivasan, Clark Crawford, Scott Limb
  • Patent number: 7955767
    Abstract: A method for examining at least one wafer (13) with regard to a contamination limit, in which the contamination potential of the resist (13a) of the wafer (13), which resist (13a) outgasses contaminating substances, is examined with regard to a contamination limit before the wafer (13) is exposed in an EUV projection exposure system (1). The method preferably includes: arranging the wafer (13) and/or a test disc coated with the same resist (13a) as the resist (13a) of the wafer (13) in a vacuum chamber (19), evacuating the vacuum chamber (19), and measuring the contamination potential of the contaminating substances outgassed from the wafer (13) in the evacuated vacuum chamber (19), and also comparing the contamination potential of the wafer (13) with a contamination limit. An EUV projection exposure system (1) for carrying out the method is also disclosed.
    Type: Grant
    Filed: January 20, 2010
    Date of Patent: June 7, 2011
    Assignee: CARL ZEISS SMT GmbH
    Inventors: Andreas Dorsel, Stefan Schmidt
  • Patent number: 7951524
    Abstract: Photoresist additive polymers and photoresist formulations that can be used in immersion lithography without the use of an additional topcoat. The resist compositions include a photoresist polymer, at least one photoacid generator, a solvent; and a photoresist additive polymer. Also a method of forming using photoresist formulations including photoresist additive polymers.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: May 31, 2011
    Assignees: International Business Machines Corporation, JSR Micro Inc.
    Inventors: Robert Allen, Phillip Brock, Shiro Kusumoto, Yukio Nishimura, Daniel P. Sanders, Mark Steven Slezak, Ratnam Sooriyakumaran, Linda K. Sundberg, Hoa Trung, Gregory M. Wallraff
  • Patent number: 7947422
    Abstract: The invention relates to a fluorine-containing compound represented by the formula 1, where R1 is a methyl group or trifluoromethyl group, each of R2 and R3 is independently a hydrogen atom or a group containing (a) a hydrocarbon group having a straight-chain, branched or ring form and having a carbon atom number of 1-25 or (b) an aromatic hydrocarbon group, the group optionally containing at least one of a fluorine atom, an oxygen atom and a carbonyl bond, l is an integer of from 0 to 2, each of m and n is independently an integer of 1-5 to satisfy an expression of m+n?6, and when at least one of R1, R2 and R3 is in a plural number, the at least one of R1, R2 and R3 may be identical with or different from each other.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: May 24, 2011
    Assignee: Central Glass Company, Limited
    Inventors: Shinichi Sumida, Haruhiko Komoriya, Kazuhiko Maeda
  • Patent number: 7947423
    Abstract: A photosensitive compound whose size is smaller than conventional polymer for photoresist, and which has well-defined (uniform) structure, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula 1. Also, the photoresist composition comprises 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to 15 weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 200 to 5000 weight parts of an organic solvent. In the formula 1, x is 1, 2, 3, 4 or 5, y is 2, 3, 4, 5 or 6, and R and R? are independently a chain type or a ring type of aliphatic or aromatic hydrocarbon group of 1 to 30 carbon atoms.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: May 24, 2011
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jae-Woo Lee, Min-Ja Yoo, Jun-Gyeong Lee, Young-Bae Lim, Jae-Hyun Kim
  • Patent number: 7943286
    Abstract: A method for fabricating ultra-short T-gates on heterojunction field effect transistors (HFETs) comprising the steps of (a) providing a coating of three layers of resists, with polymethylmethacrylate (PMMA) with high molecular weight on the bottom, polydimethylglutarimide (PMGI) in the middle, and PMMA with low molecular weight on the top; (b) in a first exposure, exposing and developing the layers with a dose of a developer that is high enough to allow the developer to break the top PMMA but low to avoid contributing significantly to the overall dose received in the bottom PMMA layer; and (c) in a second exposure, using an exposure and developing process to define 0.03-0.05 um openings in the bottom PMMA layer.
    Type: Grant
    Filed: March 27, 2008
    Date of Patent: May 17, 2011
    Assignee: BAE Systems Information and Electronic Systems Integration Inc.
    Inventors: Dong Xu, Gabriel Cueva, Pane-chane Chao, Wendell Kong
  • Patent number: 7939244
    Abstract: New hardmask compositions comprising non-polymeric, metal-containing nanoparticles dispersed or dissolved in a solvent system and methods of using those compositions as hardmask layers in microelectronic structures are provided. The compositions are photosensitive and capable of being rendered developer soluble upon exposure to radiation. The inventive hardmask layer is patterned simultaneously with the photoresist layer and provides plasma etch resistance for subsequent pattern transfer.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: May 10, 2011
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero
  • Patent number: 7939241
    Abstract: The present invention relates to a novel (meth)acrylamide compound represented by the general formula (1), a (co)polymer of the (meth)acrylamide compound, and a chemically amplified photosensitive resin composition composed of the polymer and a photoacid generator. In the formula, R1 represents a hydrogen atom or a methyl group; R2 represents an acid-decomposable group; and R3 to R6 independently represent a hydrogen atom, a halogen atom or an alkyl group having 1 to 4 carbon atoms.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: May 10, 2011
    Assignee: NEC Corporation
    Inventors: Katsumi Maeda, Kaichirou Nakano
  • Patent number: 7935464
    Abstract: A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: May 3, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Christopher Siu Wing Ngai
  • Patent number: 7935474
    Abstract: An acid-amplifier having an acetal group and a photoresist composition including the same, are disclosed. The acid-amplifier produces an acid (second acid) during a post-exposure-bake (PEB), which is induced by an acid (first acid) generated from a photo-acid generator (PAG) at the exposure process so that a line edge roughness (LER) of the photoresist pattern and photoresist energy sensitivity are improved. The acid-amplifier has a structure of following Formula 1. in Formula 1, R is C4˜C20 mono-cyclic or multi-cyclic saturated hydrocarbon, R1 is C1˜C10 linear hydrocarbon, C1˜C10 perfluoro compound or C5˜C20 aromatic compound, Ra and Rb are independently hydrogen atom or C1˜C4 saturated hydrocarbon and A is independently oxygen atom (O) or sulfur atom (S).
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: May 3, 2011
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jung-Youl Lee, Min-Ja Yoo, Jeong-Sik Kim, Young-Bae Lim, Jae-Woo Lee, Jae-Hyun Kim
  • Patent number: 7935776
    Abstract: The present invention relates to a radiation curable and developable polyurethane which is characterized by having a carboxy group in its main chain and a acryloyl group in its side chain and comprising the following repeat units (I), (II), and (II) in a random arrangement: wherein R1, R2, R3, R4, and T are defined in the specification. The polyurethane has a weight molecular weight measured by GPC in a range of from 3,000 to 400,000; an acid value in a range of from 5 to 120 mgKOH/g. The present invention also relates to a radiation curable and developable composition containing the polyurethane.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: May 3, 2011
    Assignee: AGI Corporation
    Inventors: Wei Hsiang Huang, Ying Jen Chen, Jui Ming Chang, Chun Hung Kuo, Hong Ye Lin, Li Chung Chang
  • Patent number: 7932012
    Abstract: A heat resistant photosensitive resin composition having excellent film properties is provided by constituting a photosensitive resin composition containing (A) a polymer having an acid functional group and/or a substituent derived therefrom, (B) a compound having at least one substituent derived from an amine functional group, (C) a photoreactive compound, and (D) a solvent. Using this composition, a pattern with high resolution can be produced, and thus an electronic part having a high quality can be produced.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: April 26, 2011
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Hiroshi Komatsu, Nagatoshi Fujieda, Hajime Nakano
  • Patent number: 7923194
    Abstract: Compositions, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary composition, among others, includes a polymer and a catalytic amount of a negative tone photoinitiator.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: April 12, 2011
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, Paul J. Joseph, Hollie K. Reed, Sue Ann Bidstrup-Allen, Celesta E. White, Clifford Henderson
  • Patent number: 7923195
    Abstract: The present invention provides a polymer suitable as a base resin for a positive resist composition, especially for a chemically amplified positive resist composition, having a high sensitivity, a high degree of resolution, a good pattern configuration after exposure, and in addition an excellent etching resistance; a positive resist composition using the polymer; and a patterning process. The positive resist composition of the present invention is characterized in that it contains at least, as a base resin, a polymer whose hydrogen atom of a phenolic hydroxide group is substituted by an acid labile group represented by the following general formula (1).
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: April 12, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takanobu Takeda
  • Patent number: 7923200
    Abstract: The present invention relates to an aqueous coating composition for coating a photoresist pattern comprising a polymer containing a lactam group of structure (1) where R1 is independently selected hydrogen, C1-C4 alkyl, C1-C6 alkyl alcohol, hydroxy (OH), amine (NH2), carboxylic acid, and amide (CONH2), ?represents the attachment to the polymer, m=1-6, and n=1-4. The present invention also relates to a process for manufacturing a microelectronic device comprising providing a substrate with a photoresist pattern, coating the photoresist pattern with the novel coating material reacting a portion of the coating material in contact with the photoresist pattern, and removing a portion of the coating material which is not reacted with a removal solution.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: April 12, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Muthiah Thiyagarajan, Ralph R. Dammel, Yi Cao, SungEun Hong, WenBing Kang, Clement Anyadiegwu
  • Patent number: 7923202
    Abstract: A structure and a method for forming the same. The method includes providing a structure which includes (a) a to-be-patterned layer, (b) a photoresist layer on top of the to-be-patterned layer wherein the photoresist layer includes a first opening, and (c) a cap region on side walls of the first opening. A first top surface of the to-be-patterned layer is exposed to a surrounding ambient through the first opening. The method further includes performing a first lithography process resulting in a second opening in the photoresist layer. The second opening is different from the first opening. A second top surface of the to-be-patterned layer is exposed to a surrounding ambient through the second opening.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: April 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, Steven John Holmes, David Vaclav Horak, Charles William Koburger, III
  • Patent number: 7923198
    Abstract: A method of manufacturing a fine T-shaped electrode includes a step of forming a laminated resist which includes at least a photoresist layer as an uppermost layer; a step of forming an uppermost layer opening by irradiating the laminated resist with light to pattern only the photo resist layer and form an uppermost layer opening; a step of reducing the diameter of the uppermost layer opening by coating a resist pattern thickening material on the photoresist layer; a step of forming a lowermost layer opening by transferring the uppermost layer opening formed in the photoresist layer to a lower layer of the photoresist, and penetrating the laminated resist; a step of reducing the size of the lowermost opening in the lowermost layer of the laminated resist; and a step of forming a T-shaped electrode in the opening part formed through the laminated resist.
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: April 12, 2011
    Assignee: Fujitsu Limited
    Inventor: Ken Sawada
  • Patent number: 7919225
    Abstract: A method and a composition. The composition includes at least one carbosilane-substituted silsesquioxane polymer which crosslinks in the presence of an acid. The at least one carbosilane-substituted silsesquioxane polymer is soluble in aqueous base. The method includes forming a coating on a substrate. The coating includes one or more carbosilane-substituted silsesquioxane polymers. The carbosilane-substituted silsesquioxane polymer is soluble in aqueous base. The coating is exposed to radiation, resulting in generating a latent pattern in the coating. The exposed coating is baked at a first temperature less than about 150° C. The baked coating is developed, resulting in forming a latent image from the latent pattern in the baked coating. The latent image is cured at a second temperature less than about 500° C.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: April 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Joe Brock, Blake W. Davis, Geraud Jean-Michel Dubois, Qinghuang Lin, Robert D. Miller, Alshakim Nelson, Sampath Purushothaman, Ratnam Sooriyakumaran
  • Patent number: 7919228
    Abstract: The present invention relates to a method of forming a pattern of a semiconductor device. According to the method, patterns are formed on a substrate. First photoresist patterns are formed in regions where the patterns are opened. The first photoresist patterns are diffused to upper corners of the patterns, thus forming second photoresist patterns. The patterns are etched using the second photoresist patterns as an etch-stop layer. Accordingly, smaller photomask patterns can be formed.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: April 5, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dae Woo Kim
  • Patent number: 7910290
    Abstract: A method of forming an image using a topcoat composition. A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas TmR3 where m is equal to 8, 10 or 12 and QnMnR1,R2,R3 where n is equal to 8, 10 or 12 are provided. The functional groups include aqueous base soluble moieties. Mixtures of the functionalized polyhedral oligomeric silsesquioxanes derivatives are highly suitable as a topcoat for photoresist in photolithography and immersion photolithography applications.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Ratnam Sooriyakumaran, Linda Karin Sundberg
  • Patent number: 7910291
    Abstract: A method for manufacturing a semiconductor device using an immersion lithography process is disclosed. The immersion lithography process includes forming a photoresist film over an underlying layer of a semiconductor substrate; exposing the photoresist film to light without using an exposure mask; and performing an exposure process using an exposure mask. After exposure using the immersion lithography, a water mark generated from the exposure layer consumes a part of the acid of the exposure layer but the residual acid remains in the exposure layer to prevent generation of pattern defects such as T-top or pattern bridges.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: March 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Kyu Bok, Hyun Sook Jun, Tae Seung Eom
  • Patent number: 7910292
    Abstract: The present invention provides a lithographic process for producing high aspect ratio parts from an epoxy-type negative photoresist comprising the steps of: (i) irradiating a prebaked masked epoxy-type negative photoresist on a substrate with light at a total energy density of from 18,000 to 35,000 mJ/cm2, (ii) post-baking the exposed photoresist at elevated temperature, and (iii) developing the exposed photoresist in a solvent, wherein no more than 15% of the energy density is contributed by light having a wavelength of 400 nm or less. The invention also discloses a reciprocating microengine (10) comprising a cylinder (14), piston (12) and crankshaft made by the process.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: March 22, 2011
    Assignee: American Compass, Inc.
    Inventors: Kyle Jiang, Peng Jin
  • Patent number: 7910312
    Abstract: Protective groups which may be cleaved with an activatable deprotecting reagents are employed to achieve a highly sensitive, high resolution, combinatorial synthesis of pattern arrays of diverse polymers. In preferred embodiments of the instant invention, the activatable deprotecting reagent is a photoacid generator and the protective groups are DMT for nucleic acids and tBOC for amino acids. This invention has a wide variety of applications and is particularly useful for the solid phase combinatorial synthesis of polymers.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: March 22, 2011
    Assignee: Affymetrix, Inc.
    Inventors: Robert G. Kuimelis, Glenn H. McGall, Martin J. Goldberg, Guangyu Xu
  • Patent number: 7901864
    Abstract: A radiation-sensitive composition (and method of fabricating a device using the composition) includes a nonpolymeric silsesquioxane including at least one acid labile moiety, a polymer including at least one member selected from the group consisting of an aqueous base soluble moiety and an acid labile moiety, and a radiation-sensitive acid generator. Another radiation-senstive composition (and method of fabricating a device using the composition) includes a nonpolymerc silsesquioxane including at least one aqueous base soluble moiety, a polymer including an aqueous base soluble moiety, a crosslinker, and a radiation-sensitive acid generator.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Marie Angelopoulos, Timothy A. Brunner, Dirk Pfeiffer, Ratnam Sooriyakumaran
  • Patent number: 7897325
    Abstract: The invention provides a novel rinse solution used in the step of rinse treatment of a patterned photoresist layer developed with an aqueous alkaline developer solution in a photolithographic process for the manufacture of semiconductor devices and liquid crystal display panels. The rinse solution provided by the invention is an aqueous solution of a nitrogen-containing heterocyclic compound such as imidazoline, pyridine and the like in a concentration up to 10% by mass. Optionally, the rinse solution of the invention further contains a water-miscible alcoholic or glycolic organic solvent and/or a water-soluble resin. The invention also provides a lithographic method for the formation of a patterned photoresist layer including a step of rinse treatment of an alkali-developed resist layer with the rinse solution defined above. The invention provides an improvement on the lithographic process in respect of the product quality and efficiency of the process.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: March 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshihiro Sawada, Jun Koshiyama, Kazumasa Wakiya, Atsushi Miyamoto, Hidekazu Tajima
  • Patent number: 7897324
    Abstract: The present invention provides a method of lithographic patterning in order to the strength of the patterned photoresist. The method comprises: applying to a surface to be patterned a photoresist (18) comprising a polymer resin, a photocatalyst generator which generates a catalyst on exposure to actinic radiation, and a quencher; and exposing the photoresist (18) to actinic radiation through a mask pattern (12). This is followed, in either order, by carrying out a post-exposure bake; and developing the photoresist (18) with a developer to remove a portion of the photoresist which has been exposed to the actinic radiation. The polymer resin is substantially insoluble in the developer prior to exposure to actinic radiation and rendered soluble in the developer by the action of the catalyst, and wherein the polymer resin is crosslinked by the action of the quencher during the bake.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: March 1, 2011
    Assignee: NXP B.V.
    Inventors: Peter Zandbergen, Jeroen H Lammers, David Van Steenwinckel
  • Patent number: 7897320
    Abstract: The present invention relates to thermoplastic material comprising polymer and at least one polychromic substance, wherein the polychromic substance is a functionalised diacetylene having the formula which has the general structure: X—C?C—C?C—Y—(CO)n-QZ wherein X is H or alkyl, Y is a divalent alkylene group, Q is O, S or NR, R is H or alkyl, and Z is alkyl, and n is 0 or 1. The present invention further relates to a method of processing thermoplastic material to form a plastic article, wherein the method comprises the step of processing the thermoplastic material at a temperature greater than the melt temperature of the thermoplastic, wherein the thermoplastic material comprises polymer and at least one polychromic substance as defined above; and further comprising the step of irradiating the plastic article to colour at least a region of the plastic article.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: March 1, 2011
    Assignee: The Procter & Gamble Company
    Inventors: Neil John Rogers, Christopher Lamb, Anthony Nicholas Jarvis
  • Patent number: 7892721
    Abstract: The invention relates to a storage medium for storing information/data, wherein the storage medium comprises a dielectric storage material, more particularly a disk-shaped storage material on which a metal ion donor medium is arranged or can be applied on at least one side thereof. Metal ions can be transferred from the donor medium into the storage medium by exposing the storage medium to radiation, more particularly to laser radiation. The invention also relates to a storage medium for storing information/data, wherein the storage medium comprises a dielectric storage material, more particularly a disk-shaped storage material having at least one local metal ion doping, wherein the metal ions can be converted into metal particles and/or metal particles agglomerations by means of radiation, more particularly laser radiation.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: February 22, 2011
    Inventors: Alexander Cioc, Gerhard Fehr, Reinhard Borek, Thomas Rainer, Jochen Schneider, Klaus-Jürgen Berg, Gunnar Berg
  • Patent number: 7887996
    Abstract: Pattern transfer is achieved by forming a first patterned hard mask layer with a circuit pattern and a plurality of dummy patterns on a substrate, forming a second pattern mask layer on the substrate, exposing the circuit pattern of the first pattern mask layer, and removing a portion of the substrate exposed by the first patterned mask layer, so as to transfer the circuit pattern to the substrate.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: February 15, 2011
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Jen Liu, Cheng-Ku Chiang
  • Patent number: 7887991
    Abstract: The present invention provides a polymer, having a high sensitivity, a high degree of resolution, a good pattern configuration after exposure, and in addition an excellent etching resistance, suitable as a base resin for a positive resist composition, especially for a chemically amplified positive resist composition; a positive resist composition using the polymer; and a patterning process. The positive resist composition of the present invention is characterized in that it contains at least, as a base resin, a polymer whose hydrogen atom of a phenolic hydroxide group is substituted by an acid labile group represented by the following general formula (1).
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takanobu Takeda
  • Patent number: 7887992
    Abstract: Disclosed is a photosensitive paste comprising a polymerizable monomer and a photopolymerization initiator, wherein the glass transition temperature of the polymerizable monomer is ?10° C. or less. The present paste may be used for creating a fine pattern and prevents residue from remaining in the area where paste should be removed.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: February 15, 2011
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Kazushige Ito, Hiroaki Noda
  • Patent number: 7883824
    Abstract: An evaluation method for lithography apparatus including a coating unit, an exposure unit, a heating unit and a development unit, the evaluation method including forming an evaluation resist pattern by using the lithography apparatus, the evaluation resist pattern including first and second evaluation patterns, the first and second evaluation patterns having different peripheral environments, measuring dimensions of the first and second evaluation patterns to obtain a dimensional difference between the first and second resist evaluation patterns, estimating an exposure dose of a resist when the resist is exposed by the exposure unit, the estimating the exposure dose being performed based on the dimensional difference between the first and second resist evaluation patterns, and estimating an effective heating temperature of the resist when the resist is heated by the heating unit, the estimating the effective heating temperature being performed based on the estimated exposure dose and the dimensional differenc
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: February 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masafumi Asano, Kenji Yoshida, Masahiro Kanno
  • Patent number: 7879529
    Abstract: In the liquid immersion lithography process, by simultaneously preventing deterioration of a resist film and deterioration of an immersion liquid employed during liquid immersion lithography which uses various immersion liquids, including water, resistance to post exposure delay of the resist film can be improved without increasing the number of processes, thereby making it possible to form a high resolution resist pattern using liquid immersion lithography. Furthermore, it is possible to apply a high refractive index liquid immersion medium, used in combination with the high refractive index liquid immersion medium, thus making it possible to further improve pattern accuracy. Using a composition comprising an acrylic resin component having characteristics which have substantially no compatibility with a liquid in which a resist film is immersed, particularly water, and are also soluble in alkaline, a protective film is formed on the surface of a resist film used.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: February 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kotaro Endo, Masaaki Yoshida, Keita Ishizuka
  • Patent number: 7879528
    Abstract: A resist composition and a method of forming a resist pattern that enable contamination within the exposure apparatus to be prevented in lithography processes using an electron beam or EUV (extreme ultraviolet light). In this method, an organic solvent containing, as the principal component, one or more compounds selected from a group consisting of propylene glycol monomethyl ether (PGME), methyl amyl ketone (MAK), butyl acetate (BuOAc), and 3-methyl methoxy propionate (MMP) is used as the resist solvent.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: February 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takeo Watanabe, Hideo Hada, Hiroo Kinoshita
  • Patent number: 7875416
    Abstract: A liquid-type composition for forming a photosensitive polymer complex and a method of preparing a photosensitive polymer complex containing silver nanoparticles using the same are provided. The composition for forming a photosensitive polymer complex includes a multifunctional epoxy resin, a photoacid generator, an organic solvent and a silver compound, or additionally includes a multifunctional acrylate resin and a photoinitiator, or an additive, e.g., a surfactant or a flow improver. This composition is applied, selectively exposed, and developed, thus preparing a photosensitive polymer complex, which contains silver nanoparticles uniformly dispersed and formed in the polymer pattern portion thereof through photo reduction and is therefore improved in terms of physical or chemical properties, e.g., heat resistance and wear resistance.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: January 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong Jin Park, Byung Ha Park, Young Ung Ha
  • Patent number: 7875420
    Abstract: To improve the surface roughness of a resist film formed on a wafer. In a coating and developing treatment system, a wafer W on which a resist film has been formed and subjected to exposure and developing treatment is adjusted to a predetermined temperature. A solvent gas is supplied to the surface of the temperature-adjusted wafer W to dissolve the surface of the resist film. The wafer W is then heated to volatilize the solvent in the resist film to thereby heat shrink the resist film. This levels the projections and depressions on the surface of the resist film so as to improve the surface roughness of the resist film.
    Type: Grant
    Filed: May 31, 2004
    Date of Patent: January 25, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 7871754
    Abstract: A photosensitive composition is provided, which includes a compound expressed by the formula T3 and a photo-acid generator which generates an acid by an action of actinic radiation. In the formula T3, R3s are hydrogen atoms and hydrophobic groups. The hydrophobic groups are selected from the group consisting of (AD-1), (AD-2), and (AD-3) shown below, and the hydrogen atoms are partially substituted with a hydrophilic group (LA) shown below.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: January 18, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shigeki Hattori, Satoshi Saito
  • Patent number: 7871756
    Abstract: There is provided a photosensitive thermosetting resin composition used for producing a permanent film, capable of forming a resin layer which is excellent in fluidity upon heat bonding after pattern, formation and also has excellent adhesion as well as bonding properties and/or sealing properties. This composition contains a reaction product of (A) an alkali soluble resin and (C) a 10 crosslinking polyvinyl ether compound, (B) a compound generating an acid under irradiation with radiation, and (D) an epoxy resin.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: January 18, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Toru Takahashi, Naoya Katsumata, Hiroki Maeda
  • Patent number: 7871752
    Abstract: Lactone-containing compounds having formula (1) are novel wherein R1 is H, F, methyl or trifluoromethyl, R2 and R3 are monovalent hydrocarbon groups, or R2 and R3 may together form an aliphatic hydrocarbon ring, R4 is H or CO2R5, R5 is a monovalent hydrocarbon group, W is CH2, O or S, and k1 is 0 or 1. They are useful as monomers to produce polymers which are transparent to radiation?500 nm. Radiation-sensitive resist compositions comprising the polymers as base resin exhibit excellent properties including resolution, pattern edge roughness, pattern density dependency and exposure margin.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: January 18, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Tsunehiro Nishi, Takeshi Kinsho, Seiichiro Tachibana
  • Patent number: 7871755
    Abstract: A photosensitive composition is provided, which includes a compound represented by the formula BP; and a photo-acid generator which generates an acid by the action of actinic radiation, wherein R1 is an acid-leaving group, and a part of R1 may be substituted with a hydrogen atom.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: January 18, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shigeki Hattori, Satoshi Saito
  • Patent number: 7867689
    Abstract: A method. The method includes dip coating a film of a composition on a silicon wafer substrate. The composition includes a polymer blend of a first polymer and a second polymer. The first polymer is a substituted silsesquioxane copolymer. The second polymer is a polysilsesquioxane having silanol end groups. The composition includes a photosensitive acid generator, an organic base, and an organic crosslinking agent. The film is patternwise imaged and at least one region is exposed to radiation having a wavelength of about 248 nanometers. The film is baked, resulting in inducing crosslinking in the film. The film is developed resulting in removal of base-soluble unexposed regions of the film, wherein a relief pattern from the film remains. The relief pattern is cured at a temperature between about 300° C. and about 450° C., and the curing utilizes a combination of thermal treatment with UV radiation.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: January 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Brock, Blake W. Davis, Qinghuang Lin, Robert D. Miller, Alshakim Nelson, Ratnam Sooriyakumaran