Special Or Nonstandard Dopant Patents (Class 438/918)
  • Patent number: 8993425
    Abstract: An embodiment integrated circuit device and a method of making the same. The embodiment method includes forming a first nitride layer over a gate stack supported by a substrate, implanting germanium ions in the first nitride layer in a direction forming an acute angle with a top surface of the substrate, etching away germanium-implanted portions of the first nitride layer to form a first asymmetric nitride spacer confined to a first side of the gate stack, the first asymmetric nitride spacer protecting a first source/drain region of the substrate from a first ion implantation, and implanting ions in a second source/drain region of the substrate on a second side of the gate stack unprotected by the first asymmetric nitride spacer to form a first source/drain.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 31, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ying Zhang
  • Patent number: 8890291
    Abstract: A method of manufacturing a silicon wafer provides a silicon wafer which can reduce the precipitation of oxygen to prevent a wafer deformation from being generated and can prevent a slip extension due to boat scratches and transfer scratches serving as a reason for a decrease in wafer strength, even when the wafer is provided to a rapid temperature-rising-and-falling thermal treatment process.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: November 18, 2014
    Assignee: Sumco Corporation
    Inventors: Toshiaki Ono, Wataru Ito, Jun Fujise
  • Patent number: 8772878
    Abstract: A silicon/germanium material and a silicon/carbon material may be provided in transistors of different conductivity type on the basis of an appropriate manufacturing regime without unduly contributing to overall process complexity. Furthermore, appropriate implantation species may be provided through exposed surface areas of the cavities prior to forming the corresponding strained semiconductor alloy, thereby additionally contributing to enhanced overall transistor performance. In other embodiments a silicon/carbon material may be formed in a P-channel transistor and an N-channel transistor, while the corresponding tensile strain component may be overcompensated for by means of a stress memorization technique in the P-channel transistor. Thus, the advantageous effects of the carbon species, such as enhancing overall dopant profile of P-channel transistors, may be combined with an efficient strain component while enhanced overall process uniformity may also be accomplished.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: July 8, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jan Hoentschel, Vassilios Papageorgiou, Belinda Hannon
  • Patent number: 8741720
    Abstract: A semiconductor device and method to form a semiconductor device is described. The semiconductor includes a gate stack disposed on a substrate. Tip regions are disposed in the substrate on either side of the gate stack. Halo regions are disposed in the substrate adjacent the tip regions. A threshold voltage implant region is disposed in the substrate directly below the gate stack. The concentration of dopant impurity atoms of a particular conductivity type is approximately the same in both the threshold voltage implant region as in the halo regions. The method includes a dopant impurity implant technique having sufficient strength to penetrate a gate stack.
    Type: Grant
    Filed: April 5, 2013
    Date of Patent: June 3, 2014
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Nick Lindert, Walid M. Hafez, Chia-Hong Jan, Mark T. Bohr
  • Patent number: 8703596
    Abstract: The semiconductor device includes a silicon substrate having a channel region, a gate electrode formed over the channel region, buried semiconductor regions formed in a surface of the silicon substrate on both sides of the gate electrode, for applying to the surface of the silicon substrate a first stress in a first direction parallel to the surface of the silicon substrate, and stressor films formed on the silicon substrate between the channel region and the buried semiconductor regions in contact with the silicon substrate, for applying to the silicon substrate a second stress in a second direction which is opposite to the first direction.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: April 22, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoyoshi Tamura
  • Patent number: 8502284
    Abstract: The semiconductor device includes a silicon substrate having a channel region, a gate electrode formed over the channel region, buried semiconductor regions formed in a surface of the silicon substrate on both sides of the gate electrode, for applying to the surface of the silicon substrate a first stress in a first direction parallel to the surface of the silicon substrate, and stressor films formed on the silicon substrate between the channel region and the buried semiconductor regions in contact with the silicon substrate, for applying to the silicon substrate a second stress in a second direction which is opposite to the first direction.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoyoshi Tamura
  • Patent number: 8471307
    Abstract: An integrated circuit containing a PMOS transistor with p-channel source/drain (PSD) regions which include a three layer PSD stack containing Si—Ge, carbon and boron. The first PSD layer is Si—Ge and includes carbon at a density between 5×1019 and 2×1020 atoms/cm3. The second PSD layer is Si—Ge and includes carbon at a density between 5×1019 atoms/cm3 and 2×1020 atoms/cm3 and boron at a density above 5×1019 atoms/cm3. The third PSD layer is silicon or Si—Ge, includes boron at a density above 5×1019 atoms/cm3 and is substantially free of carbon. After formation of the three layer epitaxial stack, the first PSD layer has a boron density less than 10 percent of the boron density in the second PSD layer. A process for forming an integrated circuit containing a PMOS transistor with a three layer PSD stack in PSD recesses.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: June 25, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Rajesh B. Khamankar, Haowen Bu, Douglas Tad Grider
  • Patent number: 8361893
    Abstract: An undoped semiconductor substrate is doped by applying stress at a side of the undoped semiconductor substrate to release self interstitials in the substrate and implanting chalcogen atoms into the side of the substrate. The substrate is annealed to form a first semiconductor region containing the chalcogen atoms and a second semiconductor region devoid of the chalcogen atoms. The first semiconductor region has a doping concentration higher than the doping concentration of the second semiconductor region. The indiffusion of chalcogen atoms into a semiconductor material in the presence of self interstitials can also be used to form field stop regions in power semiconductor devices.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: January 29, 2013
    Assignee: Infineon Technologies AG
    Inventors: Gerhard Schmidt, Hans-Joachim Schulze, Bernd Kolbesen
  • Patent number: 7943468
    Abstract: A semiconductor device and method to form a semiconductor device is described. The semiconductor includes a gate stack disposed on a substrate. Tip regions are disposed in the substrate on either side of the gate stack. Halo regions are disposed in the substrate adjacent the tip regions. A threshold voltage implant region is disposed in the substrate directly below the gate stack. The concentration of dopant impurity atoms of a particular conductivity type is approximately the same in both the threshold voltage implant region as in the halo regions. The method includes a dopant impurity implant technique having sufficient strength to penetrate a gate stack.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: May 17, 2011
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Nick Lindert, Walid M. Hafez, Chia-Hong Jan, Mark T. Bohr
  • Patent number: 7759657
    Abstract: Methods for implanting an ionized polyhedral borane cluster or a selected ionized lower mass byproduct into a workpiece generally includes vaporizing and ionizing a polyhedral borane cluster molecule in an ion source to create a plasma and produce ionized polyhedral borane cluster molecules and its ionized lower mass byproducts. The ionized polyhedral borane cluster molecules and lower mass byproducts within the plasma are then extracted to form an ion beam. The ion beam is mass analyzed with a mass analyzer magnet to permit selected ionized polyhedral borane cluster molecules or selected ionized lower mass byproducts to pass therethrough and implant into a workpiece.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: July 20, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Daniel R. Tieger, Patrick R. Splinter
  • Patent number: 7728347
    Abstract: A ZnO layer is provided which can obtain emission at a wavelength longer than blue (e.g., 420 nm) and has a novel structure. A transition energy narrower by 0.6 eV or larger than a band gap of ZnO can be obtained by doping S into a ZnO layer.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: June 1, 2010
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Akio Ogawa, Michihiro Sano, Hiroyuki Kato, Hiroshi Kotani, Tomofumi Yamamuro
  • Patent number: 7501332
    Abstract: A doping method includes implanting first impurity ions into a semiconductor substrate, so as to form a damaged region in the vicinity of a surface of the semiconductor substrate, the first impurity ions not contributing to electric conductivity; implanting second impurity ions into the semiconductor substrate through the damaged region, the second impurity ions having an atomic weight larger than the first impurity ions and contributing to the electric conductivity; and heating the surface of the semiconductor substrate with a light having a pulse width of about 0.1 ms to about 100 ms, so as to activate the second impurity ions.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: March 10, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Kyoichi Suguro
  • Patent number: 7214614
    Abstract: The present invention is generally directed to various methods of using ion implantation techniques to control various metal formation processes. In one illustrative embodiment, the method comprises forming a metal seed layer above a patterned layer of insulating material, the patterned layer of insulating material defining a plurality of field areas, deactivating at least a portion of the metal seed layer in areas where the metal seed layer is positioned above at least some of the field areas, and performing a deposition process to deposit a metal layer above the metal seed layer. In some embodiments, the metal may be comprised of copper, platinum, nickel, tantalum, tungsten, cobalt, etc. Portions of the metal seed layer may be deactivated by implanting ions into portions of the metal seed layer positioned above at least some of the field areas. The implanted ions may be comprised of nitrogen, carbon, silicon, hydrogen, etc.
    Type: Grant
    Filed: October 7, 2003
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 7186631
    Abstract: Provided is a method for manufacturing a semiconductor device comprising forming a device isolation layer on a semiconductor substrate; forming gate insulating layers on the upper part of the semiconductor substrate having the device isolation layers formed thereon; forming an undoped layer for a gate electrode; implanting mixed dopant ions consisting of at least two dopant ions containing 11B ions into the undoped layer, utilizing an ion-implantation mask; and heat-treating the mixed dopant ion-implanted layer.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: March 6, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Woo Jin, Min Yong Lee, Kyoung Bong Rouh
  • Patent number: 7041530
    Abstract: A method of the production of a nanoparticle dispersed composite material capable of controlling a particle size and a three dimensional arrangement of the nanoparticles is provided. The method of the production of a nanoparticle dispersed composite material of the present invention includes a step (a) of arranging a plurality of core fine particle-protein complexes having a core fine particle, which comprises an inorganic material, internally included within a protein on the top surface of a substrate, a step (b) of removing the protein, a step (c) of conducting ion implantation from the top surface of the substrate, and a step (d) of forming nanoparticles including the ion implanted by the ion implantation as a raw material, inside of the substrate.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: May 9, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masahiro Nunoshita, Ichiro Yamashita, Shigeo Yoshii
  • Patent number: 6960498
    Abstract: A doping method capable of controlling a dose amount in response to a change the ratio in ion species during a doping process, a control system for controlling a doping amount, and a doping apparatus having a control system are provided. An ion current value of a specific ion in an ion beam is measured. There is an ion detector that measures an ion current value of a specific ion in an ion beam and enters the obtained monitor signal into a control means. Set data for setting a predetermined dose amount is entered into the control means, convert data for obtaining an actual dose amount from the monitor signal is entered into the control means by a memory means. The control means performs data processing on the basis of the input monitor signal and the convert data, a control signal for obtaining the predetermined dose amount is entered from the control means to the dose amount control system to dope the controlled ion beam into the target material.
    Type: Grant
    Filed: July 2, 2003
    Date of Patent: November 1, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Osamu Nakamura
  • Patent number: 6943116
    Abstract: A p-channel field-effect transistor is formed on a semiconductor substrate. The transistor has an n-doped gate electrode, a buried channel, a p-doped source and a p-doped drain. The transistor is fabricated by a procedure in which, after an implantation for defining an n-type well, an oxidation is performed to form a gate-oxide layer and n-doped polysilicon is subsequently deposited. The latter is doped with boron or boron fluoride particles either in situ or by a dedicated implantation step. In a thermal process, the boron acceptors penetrate through the oxide layer into the substrate of the n-type well, where they form a p-doped zone, which serves for counter doping and sets the threshold voltage. This results in a steep profile that permits a shallow buried channel. The control of the number particles penetrating through the oxide layer is achieved by nitriding the oxide layer in an N2O atmosphere.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: September 13, 2005
    Assignee: Infineon Technologies AG
    Inventors: Johann Alsmeier, Jürgen Faul
  • Patent number: 6936530
    Abstract: A method of forming an Si—Ge epitaxial layer comprising the following steps. A structure is provided and a doped Si—Ge seed layer is formed thereover. The doped Si—Ge seed layer having increased nucleation sites. A Si—Ge epitaxial layer upon the doped Si—Ge seed layer whereby the Si—Ge epitaxial layer lacks discontinuity.
    Type: Grant
    Filed: February 5, 2004
    Date of Patent: August 30, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Kuen-Chyr Lee, Shih-Chang Chen, Mong-Song Liang
  • Patent number: 6927153
    Abstract: A method that includes providing a semiconductor substrate having a mask on a surface thereof. The mask includes a first region having no masking elements and a second region having a plurality of masking elements. Each of the plurality of masking elements has a dimension that is equal to a first length, the first length less than twice a diffusion length of a dopant. The method further includes bombarding the semiconductor substrate and masking element with ions of the dopant. The ions form a first impurity concentration in the first region and a second impurity concentration in the second region.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: August 9, 2005
    Assignee: Xerox Corporation
    Inventors: Alan D. Raisanen, Shelby F. Nelson
  • Patent number: 6911376
    Abstract: A process, which includes implanting hydrogen ions into a silicon substrate, overlaying the silicon substrate on to a support substrate, and applying a flash anneal heat treatment to the silicon and support substrates to cause the silicon substrates to separate at a region defined by the implanted hydrogen ions.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: June 28, 2005
    Assignee: WaferMasters
    Inventor: Woo Sik Yoo
  • Patent number: 6727175
    Abstract: The present invention is generally directed to various methods of using ion implantation techniques to control various metal formation processes. In one illustrative embodiment, the method includes forming a metal seed layer above a patterned layer of insulating material, the patterned layer of insulating material defining a plurality of field areas, deactivating at least a portion of the metal seed layer in areas where the metal seed layer is positioned above at least some of the field areas, and performing a deposition process to deposit a metal layer above the metal seed layer. In some embodiments, the metal may includes copper, platinum, nickel, tantalum, tungsten, cobalt, etc. Portions of the metal seed layer may be deactivated by implanting ions into portions of the metal seed layer positioned above at least some of the field areas. The implanted ions may includes nitrogen, carbon, silicon, hydrogen, etc.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: April 27, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 6562686
    Abstract: A method for fabricating a semiconductor device employing a salicide (self-aligned silicide) structure is disclosed. The method prevents a junction leakage current from being increased at a portion of a source/drain region which is adjacent to an field oxide, by forming the source/drain region comprised of a relatively deep SID region and a relatively shallow SID region, wherein the deep SID region is formed adjacent to the field oxide and the shallow SID region is formed adjacent to the insulating film spacer.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: May 13, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hi Deok Lee
  • Publication number: 20020187614
    Abstract: Methods and apparatus are provided for forming ultrashallow junctions in semiconductor wafers. The method includes the step of introducing into a shallow surface layer of a semiconductor wafer a dopant material that is selected to form charge carrier complexes, such as exciton complexes, which produce at least two charge carriers per complex. The semiconductor wafer containing the dopant material may be processed, such as by thermal processing, to form the charge carrier complexes. The charge carrier complexes are interstitial and therefore are not subject to the limitations imposed by the electrical solubility limits resulting from incorporation into substitutional sites. Thus, low sheet resistance can be obtained.
    Type: Application
    Filed: April 16, 2001
    Publication date: December 12, 2002
    Inventor: Daniel F. Downey
  • Patent number: 6479312
    Abstract: By providing a nitrogen-doped low carrier concentration layer 13 having both of a donor concentration and an acceptor concentration controlled below 1×1016/cm3 at a p-n junction portion between an n-type GaP layer 12 and a p-type GaP layer 14, the luminance of the GaP light emitting device can be improved by as much as 20 to 30% over the conventional one. Suppressing the donor concentration and the acceptor concentration in the low carrier concentration layer 13 below 1×1016/cm3 inevitably gives a carrier concentration, which is expressed as a difference between both concentrations, lower than 1×1016/cm3 accordingly. The emission efficiency upon injection of electrons or holes can be improved by suppressing the concentration of the donor which serves as non-emissive center below 1×1016/cm3 to thereby extend the carrier lifetime; and by concomitantly suppressing the carrier concentration at a level significantly lower than that in the adjacent layers 12 and 14.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: November 12, 2002
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Masato Yamada, Susumu Higuchi, Kousei Yumoto, Makoto Kawasaki, Ken Aihara
  • Patent number: 6465370
    Abstract: A method for reducing a capacitance formed on a silicon substrate includes the step of introducing hydrogen atoms into a portion of said surface to increase the dielectric constant of such portion of the surface increasing the effective thickness of the dielectric material and hence reducing said capacitance. The method includes the step of forming the silicon dioxide layer with a thickness greater than two nanometers. The step of introducing hydrogen includes forming hydrogen atoms in the surface with concentrations of 1017 atoms per cubic centimeter, or greater. In one embodiment the hydrogen atoms are introduced by baking in hydrogen at a temperature of 950° C. to 1100° C. and pressure greater than 100 Torr. A trench capacitor DRAM cell is provided wherein the hydrogen provides a passivation layer to increase the effective capacitance around a collar region and thereby reduce unwanted transistor action.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: October 15, 2002
    Assignee: Infineon Technologies AG
    Inventors: Martin Schrems, Rolf-Peter Vollertsen, Joachim Hoepfner
  • Patent number: 6410348
    Abstract: An interface texturing for light-emitting device is formed by utilizing holographic lithography. Two coherent light beams are overlaid to cause constructive and destructive interference and thereby periodical alternative bright and dark lines are formed. A wafer coated with photoresist material is exposed under the interference lines. After developing step, a photoresist pattern with textured surface is formed on the wafer. Thereafter, the textured photoresist pattern is transferred to the wafer by etching process and result in a desired interface texturing.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: June 25, 2002
    Assignee: United Epitaxxy Company, Ltd.
    Inventors: Tzer-Perng Chen, Chih-Sung Chang, Holin Chang
  • Publication number: 20010005607
    Abstract: A display unit capable of inhibiting moisture and gas from penetrating into a liquid crystal layer and an alignment layer also after formation of a display electrode and suppressing decomposition of a material forming the display electrode is obtained. In this display unit, an impurity-introduced layer containing an impurity element having high electronegativity is formed on the surface of an insulator film and the surface of the display electrode after formation of the display electrode. Thus, the insulator film and the display electrode are improved in effects of preventing transmission of moisture and gas also after formation of the display electrode. The impurity-introduced layer formed on the surface of the display electrode stabilizes the surface of an ITO film forming the display electrode, thereby suppressing decomposition of the ITO film.
    Type: Application
    Filed: December 27, 2000
    Publication date: June 28, 2001
    Applicant: Sanyo Electric Co., Ltd.
    Inventors: Isao Hasegawa, Hiroki Hamada, Daisuke Ide
  • Patent number: 6204157
    Abstract: A method for making a semiconductor device including a silicon substrate includes implanting Nitrogen into the substrate after gate stack formation and before source/drain pant implantation. The Nitrogen is implanted and then annealed as appropriate to establish shallow junction regions and minimal overlap regions in the substrate. Then, the source/drain dopant is implanted and activated, with the dopant essentially being constrained by the Nitrogen to remain concentrated in the shallow junction and minimal overlap regions, thereby minimizing junction capacitance and overlap capacitance in the finished device and consequently improving the speed of operation of the device.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: March 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Effiong Ibok
  • Patent number: 6191014
    Abstract: Provided is a manufacturing method of a compound semiconductor having at least one layer of carbon-doped p-type semiconductor epitaxial layer by a MOVPE process, wherein carbon trichloride bromide is used as a carbon source of carbon to be added to the p-type semiconductor epitaxial layer. In the method the etching amount during growth is relatively small, and carbon can be added to a high concentration even with a large MOVPE apparatus.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: February 20, 2001
    Assignee: Sumitomo Chemical Company, Ltd.
    Inventors: Yuichi Sasajima, Masahiko Hata, Toshimitsu Abe
  • Patent number: 6093648
    Abstract: The problem to be solved by the present invention is providing a production method capable of adjusting a dislocation density freely to a required dislocation density level for a discrete structure substrate. According to the present invention, when producing a discrete structure substrate generally said to have a low level dislocation density in which an average dislocation density is 5000 pieces/cm.sup.2, diffusing a wafer after determining its thickness so as to meet required dislocation density level, a wafer thickness is adjusted within a specified range before diffusion is carried out.
    Type: Grant
    Filed: July 13, 1998
    Date of Patent: July 25, 2000
    Assignee: Naoetsu Electronics Company
    Inventor: Tsutomu Satoh
  • Patent number: 6063682
    Abstract: A method of fabricating a transistor is provided. According to the method, a heavy ion is implanted into a silicon substrate so as to amorphize at least a portion of the silicon substrate. The amorphized silicon is substantially free of channels. A dopant is subsequently implanted into the amorphized silicon, and the amorphized silicon substantially contains the implanted dopant. Thereafter, a silicon implanting step is performed to create an excess of vacancies to interstitials within a predetermined range. Enhanced diffusion of the dopant within the predetermined range is mitigated because of the excess of vacancies to interstitials within this predetermined range.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: May 16, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Akif Sultan, Geoffrey Choh-Fei Yeap
  • Patent number: 6048782
    Abstract: Halides of a dopant species may be used as a dopant gas source to form shallow doped junctions using a direct gas-phase doping (GPD) process. These halides can also be combined with a carrier gas. Some advantages over conventional gas-phase doping processes include shallower junctions, shorter process times, lower processing temperature, and the elimination of a separate surface cleaning step for native oxide removal.
    Type: Grant
    Filed: October 4, 1996
    Date of Patent: April 11, 2000
    Assignee: Texas Instruments Incorporated
    Inventor: Mehrdad M. Moslehi
  • Patent number: 6043117
    Abstract: A method of forming an SRAM cell includes, a) providing a pair of pull-down gates having associated transistor diffusion regions operatively adjacent thereto, one of the diffusion regions of each pull-down gate being electrically connected to the other pull-down gate; b) providing a pair of pull-up resistor nodes for electrical connection with a pair of respective pull-up resistors, the pull-up nodes being in respective electrical connection with one of the pull-down gate diffusion regions and the other pull-down gate; c) providing a first electrical insulating layer outwardly of the resistor nodes; d) providing a pair of contact openings, with respective widths, through the first insulating layer to the pair of resistor nodes; e) providing a second electrical insulating layer over the first layer and to within the pair of contact openings to a thickness which is less than one-half the open widths; f) anisotropically etching the second electrical insulating layer to define respective electrical insulating ann
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: March 28, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Shubneesh Batra, Monte Manning
  • Patent number: 6043139
    Abstract: Diffusion of ion-implanted dopant is controlled by incorporating electrically inactive impurity in a semiconductor layer by at least one crystal growth technique.
    Type: Grant
    Filed: November 28, 1995
    Date of Patent: March 28, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: David James Eaglesham, Hans-Joachim Ludwig Gossmann, John Milo Poate, Peter Adriaan Stolk
  • Patent number: 6013332
    Abstract: A method of manufacturing a semiconductor device comprising the steps of: ionizing decaborane; and implanting ionized decaborane into a silicon wafer. Solid decaborane can be vaporized in a reduced pressure atmosphere or by heating. A single decaborane molecule can provide 10 boron atoms while the acceleration energy per each boron atom can be reduced to about 1/10 of the acceleration energy for a decaborane molecule.
    Type: Grant
    Filed: December 6, 1996
    Date of Patent: January 11, 2000
    Assignees: Fujitsu Limited, Japan Science and Technology Corporation
    Inventors: Kenichi Goto, Masataka Kase, Jiro Matsuo, Isao Yamada, Daisuke Takeuchi, Noriaki Toyoda, Norihiro Shimada
  • Patent number: 6008124
    Abstract: After formation of a connection hole or before deposition of an insulator film, a semiconductor device is placed onto a cathode of a plasma generator. A surface of a metal silicide film such as a silicide of titanium is exposed to a plasma of a nitrogen-containing gas at 550 degrees centigrade or less. As a result of such processing, a barrier compound layer, composed of a compound of nitrogen, oxygen, metal and silicon, is formed at a near-surface region of the metal silicide film of the titanium silicide film. Thereafter, while forming a buried layer from material superior in step coverage such as an Al--Ti compound and an aluminum alloy, reaction between the metal silicide film and the buried layer in a later annealing treatment can be avoided without depositing a barrier metal such as a titanium nitride/nitride film in the connection hole. Accordingly, contact resistance, sheet resistance and junction leakage can be reduced and reliability can be improved.
    Type: Grant
    Filed: February 21, 1997
    Date of Patent: December 28, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Mitsuru Sekiguchi, Michinari Yamanaka
  • Patent number: 5885861
    Abstract: Diffusion of dopants within the gate of the transistor and/or the source/drain regions can be inhibited by the ion co-implantation of impurities in addition to the ion implantation of the n-type or p-type dopants. Implanting a combination of nitrogen and carbon for p-type devices in addition to the p-type dopants and implanting a combination of nitrogen and fluorine for n-type devices in addition to the n-type dopants, significantly reduces the diffusion of the n-type and p-type dopants. The co-implantation of the additional impurities may be performed before patterning of the polysilicon layer to yield the gate conductors. The impurities may be implanted first, followed by the n-type or p-type dopants. Additional implantation of the impurities may be performed after the patterning of the polysilicon layer in order to reduce dopant diffusion in the source and drain regions.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: March 23, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Derrick J. Wristers
  • Patent number: 5855962
    Abstract: A spin on insulating coating with ionic barrier properties is formed on a substrate, by mixing a P or B containing material such as phosphazene or borazine with a solution of silsesquioxane, spin coating on a substrate to form a film of pre-determined thickness. The coated film is cured in a step wise manner to drive out the solvents and most of the H and OH groups, with the resulting film having a composition SiONX, where X can be B, P, F and mixtures thereof. The amount of P, B or other elements are predetermined by calculating the solids in the silsesquioxane and adding suitable amount of borazine or phosphazene. The coated and cured film fills and planarizes any topography on the substrate created by etching trenches, forming gate stacks or metal lines. In one of the variation, the substrate has a layer of insulating material disposed thereon prior to the application of the spin-on insulator.
    Type: Grant
    Filed: January 9, 1997
    Date of Patent: January 5, 1999
    Assignee: International Business Machines Corporation
    Inventors: Donna Rizzone Cote, Son Van Nguyen
  • Patent number: 5821147
    Abstract: Indium is employed as the shallow portion of a lightly doped drain transistor.
    Type: Grant
    Filed: December 11, 1995
    Date of Patent: October 13, 1998
    Assignee: Lucent Technologies, Inc.
    Inventor: Isik C. Kizilyalli
  • Patent number: 5780347
    Abstract: A method and apparatus of forming local interconnects in a MOS process deposits a layer of polysilicon over an entire region after several conventional MOS processing steps. The region is then masked to provide protected regions and unprotected regions. The mask may be used to define local interconnects and other conductive elements such as the source and drain contact regions for a MOS transistor. After masking, the region is bombarded with atoms to enhance the oxidation potential of the unprotected regions. The masking is removed and the substrate is then exposed to oxidizing conditions which cause the unprotected regions to rapidly oxidize to form a thick oxide layer. The formerly protected polysilicon regions may then be doped to render them conductive.
    Type: Grant
    Filed: May 20, 1996
    Date of Patent: July 14, 1998
    Inventor: Ashok K. Kapoor
  • Patent number: 5766695
    Abstract: The number of surface defects in semiconductor materials having a volatile species, particulary group-III nitride-based semiconductor devices, are reduced by first implanting species atoms into the semiconductor sample to fill some of the surface layer species vacancies created by growth and device fabrication processes, and then rapid thermal annealing the sample to repair broken bonds and crystalline defects and to move implanted species atoms from interstitial to substitutional sites. An optional third step deposits a dummy layer on the sample surface prior to implantation, making possible an implantation profile that places a higher density of species atoms in the surface layer than is attainable without the dummy layer and to inhibit species atoms from leaving the sample during high-temperature processing steps that follow.
    Type: Grant
    Filed: November 26, 1996
    Date of Patent: June 16, 1998
    Assignee: Hughes Electronics Corporation
    Inventors: Chanh N. Nguyen, Robert G. Wilson
  • Patent number: 5753039
    Abstract: An object formed of a semiconductor is heated to and kept at such a temperature that a semiconductor crystal formed of a II-VI Group compound semiconductor mainly containing Zn and Se can be grown. A molecular beam including elements constituting the II-VI Group compound semiconductor mainly containing Zn and Se is irradiated onto the heated object, and a gas beam composed of a nitrogen molecule being in a ground electronic state and having a gas pressure of not less than 3.times.10.sup.-5 Torr, to form a p-type semiconductor crystal on the object.
    Type: Grant
    Filed: November 28, 1995
    Date of Patent: May 19, 1998
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yuji Hishida, Tomoyuki Yoshie
  • Patent number: 5656538
    Abstract: A process for growing semi-insulating layers of indium phosphide and other group III-V materials through the use of halide dopant or etchant introduction during growth. Gas phase epitaxial growth techniques are utilized at low temperatures to produce indium phosphide layers having a resistivity greater than approximately 10.sup.7 ohm-cm. According to the preferred embodiment carbon tetrachloride is used as a dopant at flow rates above 5 sccm to grow the layers with substrate growth temperatures ranging from approximately 460.degree. C. to 525.degree. C. This temperature range provides an advantage over the transition metal techniques for doping indium phosphide since the high temperatures generally required for those techniques limit the ability to control growth. Good surface morphology is also obtained through the growth according to the present invention. The process may be used to form many types of group III-V semiconductor devices.
    Type: Grant
    Filed: March 24, 1995
    Date of Patent: August 12, 1997
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Nathan F. Gardner, Stephen A. Stockman, Quesnell J. Hartmann, Gregory E. Stillman