Patents Represented by Attorney, Agent or Law Firm Ashok K. Janah
  • Patent number: 6656535
    Abstract: A method of fabricating a process chamber component that has a ceramic form with grains and grain boundary regions. In the method, the component is bead blasted to provide a surface having a relatively low roughness average of less than about 150 microinches. The component is dipped into a solution having a concentration that is sufficiently low to reduce etching of grain boundary regions of the ceramic form. A metal coating is formed over at least a portion of the ceramic form. The component fabricated by this method can tolerate thicker deposits of sputtered material in a sputtering process without the sputtered deposit accumulates causing spalling of the coating of the component.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: December 2, 2003
    Assignee: Applied Materials, Inc
    Inventors: Yongxiang He, Hong Wang, Clifford Stow
  • Patent number: 6652710
    Abstract: An apparatus capable of processing a wafer, comprises a chamber adapted to process the wafer, whereby one or more parameters of the process being conducted in the chamber may change during processing of the wafer; and a signal analyzer adapted to receive a plurality of input signals relating to the parameters and provide an output signal in relation to the input signals.
    Type: Grant
    Filed: June 1, 1999
    Date of Patent: November 25, 2003
    Assignee: Applied Materials, Inc
    Inventor: James P. Cruse
  • Patent number: 6641697
    Abstract: An erosion resistant member that may be used in the processing of a substrate in a plasma of a processing gas, comprises at least a portion that may be exposed to the plasma of the processing gas and that contains more than about 3% by weight of an oxide of a Group IIIB metal. The portion may also further contain a ceramic compound selected from silicon carbide, silicon nitride, boron carbide, boron nitride, aluminum nitride, aluminum oxide, and mixtures thereof.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: November 4, 2003
    Assignee: Applied Materials, Inc
    Inventors: Nianci Han, Hong Shih, Jie Yuan, Danny Lu, Diana Ma
  • Patent number: 6632563
    Abstract: A thin film battery 10 comprises a substrate 12 which permits the battery 10 to be fabricated to provide higher energy density. In one embodiment, the substrate 12 of the battery 10 comprises mica. A crystalline lithium metal oxide film may be used as the cathode film 18.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: October 14, 2003
    Assignee: Front Edge Technology, Inc.
    Inventors: Victor Krasnov, Kai-Wei Nieh, Su-Jen Ting
  • Patent number: 6632321
    Abstract: A method and apparatus for monitoring, measuring and/or controlling the etch rate in a dry etch semiconductor wafer processing system. The wafer processing system has a monitoring assembly which comprises an electromagnetic radiation source and detector which interferometrically measures the etch rate. The actual rate of change of the etch as it progresses is measures by this technique and is compared to a model of a desired rate of change in a controller. The error between the actual rate of change and the desired rate of change is then used to vary at least one of the process parameters of the system in a direction tending to null the difference.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc
    Inventors: Thorsten Lill, David Mui, Michael Grimbergen
  • Patent number: 6605177
    Abstract: A substrate support comprises an electrode and a dielectric layer covering the electrode, the dielectric layer having a surface to receive a substrate. A gas feed-through provides a gas to the surface of the dielectric layer and comprises a conduit extending through one or more of the dielectric layer and electrode. A dielectric insert in the gas feed-through has a passage therein that allows the gas to be flowed therethrough. Two opposing electrically conducting cups are around the passage in the dielectric insert.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: August 12, 2003
    Assignee: Applied Material, Inc.
    Inventors: Richard R. Mett, Hamid Noorbakhsh, Robert D. Greenway
  • Patent number: 6581275
    Abstract: A method of fabricating an electrostatic chuck capable of holding a substrate in a chamber comprises forming an at least partially sintered dielectric insert, forming a dielectric preform comprising an electrode and a gas conduit, and placing the dielectric insert in the gas conduit of the dielectric preform, and sintering the dielectric preform and the dielectric insert.
    Type: Grant
    Filed: January 22, 2001
    Date of Patent: June 24, 2003
    Assignee: Applied Materials Inc.
    Inventors: Kadthala R. Narendrnath, Shamouil Shamouilian, Dennis S. Grimard
  • Patent number: 6583980
    Abstract: A chamber 30 for processing a substrate 25 comprises a support 55 including an electrode 70 at least partially covered by a dielectric 60 that is permeable to electromagnetic energy. The electrode 70 may be chargeable to electrostatically hold the substrate 25, to couple energy to a gas in the chamber 30, or both. A base 90 below the support 55 comprises a slot 95 that may be adapted to serve as a thermal expansion slot to reduce thermal stresses.
    Type: Grant
    Filed: August 18, 2000
    Date of Patent: June 24, 2003
    Assignee: Applied Materials Inc.
    Inventors: You Wang, Arnold Kholodenko, Shamouil Shamouilian, Alexander M. Veytser, Wing L. Cheng
  • Patent number: 6557248
    Abstract: A method of fabricating an electrostatic member 33 for holding a substrate 45 in a process chamber 80 containing erosive process gas. The method comprises the steps of forming an electrostatic member 33 comprising an insulator or dielectric layer 35 covering an electrically conductive layer, and shaping the electrostatic member 33 to form a dielectric covered electrode and an electrical connector 55 attached to the dielectric covered electrode 50 to conduct charge to the dielectric covered electrode 50.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: May 6, 2003
    Assignee: Applied Materials Inc.
    Inventors: Shamouil Shamouilian, Manoocher Birang, John F. Cameron, Chandra Deshpandey, Alfred Goldspeil, Ron Northrup, Semyon Sherstinsky, Sasson Someth
  • Patent number: 6559942
    Abstract: A substrate is etched in a process zone by placing the substrate in the process zone, providing an energized process gas in the process zone, and exhausting the process gas. A first stage of the etching process is monitored to determine completion of the first stage by detecting the intensities of one or more wavelengths of a radiation emission generated by the energized gas, generating a first signal in relation to the detected intensities, and evaluating the first signal. A second stage of the etching process is monitored to determine completion of the second stage by detecting the intensities of one or more wavelengths of a polarized radiation reflected from the substrate being etched, generating a second signal in relation to the detected intensities, and evaluating the second signal.
    Type: Grant
    Filed: March 8, 2001
    Date of Patent: May 6, 2003
    Assignee: Applied Materials Inc.
    Inventors: Zhifeng Sui, Coriolan Frum, Jie Yuan, Chang-Lin Hsieh
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Patent number: 6503368
    Abstract: A substrate support 55 comprises first, second and third sections 88, 90, 92 connected to one another by first and second bonds 106, 108, one of the sections comprises a surface 75 adapted to receive a substrate 25. The first bond 106 comprises a first bonding material and the second bond 108 comprises a second bonding material. In one version, the first bonding material is capable of bonding surfaces when heated to a first temperature and the second bonding material is capable of bonding surfaces when heated to a second temperature.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: January 7, 2003
    Assignee: Applied Materials Inc.
    Inventors: Arnold Kholodenko, Vijay Parkhe, Shamouil Shamouilian, You Wang, Wing L. Cheng, Alexander M. Veytser
  • Patent number: 6287711
    Abstract: A wear-resistant component comprises a workpiece having a coating comprising at least one crystalline layer comprising metal boride and at least one amorphous layer comprising metal and boron. The amorphous layer can further comprise one or more of carbon, nitrogen, halogen, or hydrogen. Preferably, the coating comprises a plurality of composite layers, each composite layer comprises a crystalline titanium diboride layer and an amorphous layer comprising metal and boron. The wear-resistant component has a wear rate of 3.5×10−6 mm3/nm, which is about 250 times lower than that of an uncoated component.
    Type: Grant
    Filed: July 1, 1998
    Date of Patent: September 11, 2001
    Assignee: Front Edge Technology, Inc.
    Inventors: Simon Kai-Wei Nieh, Su-Jen Ting, Cai-Zhong Zhang
  • Patent number: 6184504
    Abstract: An apparatus 10 for controlling a temperature of an electronic device 40, comprising a temperature regulated surface 100 and an actuator 105 adapted to alternately pulse the temperature regulated surface 100 and electronic device 40 between thermally coupled and uncoupled positions, whereby a temperature of the electronic device 40 is controlled.
    Type: Grant
    Filed: April 13, 1999
    Date of Patent: February 6, 2001
    Assignee: Silicon Thermal, Inc.
    Inventor: Mark A. Cardella
  • Patent number: 5918469
    Abstract: The cooling apparatus (20) of the present invention is suitable for cooling electronic devices (22), and comprises a thermoelectric cooler (24) having a hot surface (26) and a cold surface (28), the cold surface (28) in thermal contact with an electronic device (22). A fluid circulator (30) is in thermal contact with the hot surface (26) of the thermoelectric cooler (24), and is capable of flowing heat transfer fluid (32) therein for transporting heat from the hot surface (26) of the thermoelectric cooler (24) to an environment remote from the electronic device (22). A thermal insulator (34) is provided for thermally isolating the electronic device (22) from a contiguous electrical circuit (36), so that the electronic device (22) is cooled substantially without condensation forming on the electronic device (22) or contiguous electrical circuit (36).
    Type: Grant
    Filed: January 11, 1996
    Date of Patent: July 6, 1999
    Assignee: Silicon Thermal, Inc.
    Inventor: Mark A. Cardella
  • Patent number: 5891348
    Abstract: An apparatus (20) for uniformly processing substrates (25) having a surface with a center (80) and a peripheral edge (85). The apparatus (20) comprises (i) a process chamber (30) having a gas distributor (55) for distributing process gas in the process chamber (30); (ii) a support (75) for supporting a substrate (25) in the process chamber (30); (iii) a plasma generator for forming a plasma from the process gas in the process chamber (30); and (iv) a focus ring (90) in the process chamber (30). The focus ring (90) comprises (a) a wall (95) surrounding the substrate (25) to substantially contain the plasma on the substrate surface, and (b) a channel (100) in the wall (95). The channel (100) has an inlet (105) adjacent to, and extending substantially continuously around the peripheral edge (85) of the substrate surface.
    Type: Grant
    Filed: January 26, 1996
    Date of Patent: April 6, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Gerald Zheyao Yin, Diana Xiaobing Ma, Steve S. Y. Mak
  • Patent number: 5870271
    Abstract: A sealing structure 20 for forming a seal around a chuck 30 used to hold a substrate 45 having a peripheral edge 50. An actuated, position-adjustable, sealing diaphragm 165 is disposed along the peripheral edge of the substrate. The diaphragm has a conformal sealing surface 170 capable of forming a seal when pressed against the peripheral edge of the substrate 45. A diaphragm actuator 175 actuates the sealing diaphragm from (i) a first non-sealing position 180 in which the conformal sealing surface of the diaphragm is spaced apart from the substrate held on the chuck to form a gap 190 therebetween, to (ii) a second sealing position 185 in which the conformal sealing surface of the diaphragm presses against, and forms a seal with, the peripheral edge of the substrate.
    Type: Grant
    Filed: February 19, 1997
    Date of Patent: February 9, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Harald Herchen
  • Patent number: 5866483
    Abstract: A method for etching a tungsten containing layer 25 on a substrate 10 substantially anisotropically, with good etching selectivity, and without forming excessive passivating deposits on the etched features. In the method, the substrate 10 is placed in a plasma zone 55, and process gas comprising SF.sub.6, CHF.sub.3, and N.sub.2, is introduced into the plasma zone. A plasma is formed from the process gas to anisotropically etch the tungsten containing layer 22. Preferably, the plasma is formed using combined inductive and capacitive plasma operated at a predefined inductive:capacitive power ratio.
    Type: Grant
    Filed: April 4, 1997
    Date of Patent: February 2, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Guang-Jye Shiau, Paul Herz, Xian-Can Deng, Xiaobing Diana Ma
  • Patent number: 5844683
    Abstract: The position sensor (20) and method of the present invention allows detecting proper placement of substrate holders (25) in a processing apparatus (35), and can also be used to detect displacement of the substrates (70) within the substrate holder (25). The position sensor (20) comprises (a) an optical emitter (120) capable of emitting a light beam, (b) an optical sensor (125) capable of sensing the light beam emitted by the optical emitter (120), and (c) a light regulator (130) in a path (135) of the light beam that is capable of blocking the light beam from the optical sensor when the substrate holder (25) is improperly positioned in the process chamber (40).
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: December 1, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Pavloski, Dmitry Sklyar, Andrej Rolny
  • Patent number: 5843847
    Abstract: A method of etching a dielectric layer on a substrate with high etching selectivity, low etch rate microloading, and high etch rates is described. In the method, the substrate is placed in a process zone, and a plasma is formed from process gas introduced into the process zone. The process gas comprises (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas for enhancing formation of the passivating deposits, and (iii) nitrogen-containing gas for etching the passivating deposits on the substrate. The volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to resist etching selectivity ratio of at least about 10:1, an etch rate microloading of <10%, and a dielectric etch rate of at least about 100 nm/min.
    Type: Grant
    Filed: April 29, 1996
    Date of Patent: December 1, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Bryan Pu, Hongching Shan, Michael Welch