Patents Assigned to ASML Netherlands B.V.
  • Patent number: 11947256
    Abstract: A method of manufacturing a pellicle for a lithographic apparatus, the method including locally heating the pellicle using radiative heating, and depositing coating material simultaneously on both sides of the pellicle, and pellicles manufactured according to this method. Also disclosed is the use of a multilayer graphene pellicle with a double-sided hexagonal boron nitride coating in a lithographic apparatus.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: April 2, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Evgenia Kurganova, Adrianus Johannes Maria Giesbers, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Mária Péter, Pieter-Jan Van Zwol, David Ferdinand Vles, Willem-Pieter Voorthuijzen
  • Patent number: 11947264
    Abstract: An actuator, configured to move a first object with respect to a second object, that includes a first body having an annular ring, a second body, arranged movably with respect to the first body, having a longitudinal shaft at least partially disposed within the annular ring, and at least one spring device, arranged between the first body and the second body, wherein the at least one spring device is configured to guide relative movements between the first body and the second body in a range of movement, and the at least one spring device has two or more leaf springs, wherein each of the two or more leaf springs is connected to the first body and to the second body, and wherein at least one of the leaf springs is in a non-planar state when the spring device is in an equilibrium position.
    Type: Grant
    Filed: April 3, 2020
    Date of Patent: April 2, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Patrick Willem Paul Limpens, Gerard Johannes Boogaard, Michaël Johannes Anna Maria Walters
  • Publication number: 20240103386
    Abstract: An apparatus for determining a condition associated with a pellicle for use in a lithographic apparatus, the apparatus including a sensor, wherein the sensor is configured to measure a property associated with the pellicle, the property being indicative of the pellicle condition.
    Type: Application
    Filed: October 3, 2023
    Publication date: March 28, 2024
    Applicants: ASML NETHERLANDS B.V., ASML HOLDING N.V.
    Inventors: Derk Servatius Gertruda BROUNS, Joshua ADAMS, Aage BENDIKSEN, Richard JACOBS, Andrew JUDGE, Veera Venkata Narasimha Narendra Phani KOTTAPALLI, Joseph Harry LYONS, Theodorus Marinus MODDERMAN, Manish RANJAN, Marcus Adrianus VAN DE KERKHOF, Xugang XIONG
  • Publication number: 20240104284
    Abstract: Systems and methods of feature-based cell extraction. The methods include obtaining data representative of a layout, wherein the layout includes a pattern region having no vertices, extracting unit cells from the pattern region having no vertices, identifying, using the unit cells, a set of regions of the layout matching the unit cells, and generating, using the unit cells, a hierarchy for the set of regions. In some embodiments the pattern regions have oblique angle features or have no vertices of features. The pattern regions can have a feature including a feature slope, a horizontal or a vertical pitch, or a line-space feature. In some embodiments the hierarchy is optimized using a linear optimization and can be provided for use in modeling, OPC, defect inspection, defect prediction, or SMO.
    Type: Application
    Filed: November 24, 2021
    Publication date: March 28, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventor: Yan-ting LIN
  • Publication number: 20240105416
    Abstract: Assessment systems and methods are disclosed. In one arrangement, an effect of electrode distortion in an objective lens array is compensated. An electrode distortion is adjusted by varying an electrostatic field in the objective lens array. The adjustment is such as to compensate for an effect of electrode distortion on sub-beams of a multi-beam impinging on a sample. A sub-beam is refocused in response to the variation in electrostatic field in the objective lens array. The adjusting and the refocusing comprises changing potentials applied to at least two electrodes of the objective lens array.
    Type: Application
    Filed: December 8, 2023
    Publication date: March 28, 2024
    Applicant: ASML Netherlands B.V.
    Inventor: Marco Jan-Jaco WIELAND
  • Patent number: 11940608
    Abstract: A dark field metrology device includes an objective lens arrangement and a zeroth order block to block zeroth order radiation. The objective lens arrangement directs illumination onto a specimen to be measured and collects scattered radiation from the specimen, the scattered radiation including zeroth order radiation and higher order diffracted radiation. The dark field metrology device is operable to perform an illumination scan to scan illumination over at least two different subsets of the maximum range of illumination angles; and simultaneously perform a detection scan which scans the zeroth order block and/or the scattered radiation with respect to each other over a corresponding subset of the maximum range of detection angles during at least part of the illumination scan.
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Sebastianus Adrianus Goorden
  • Patent number: 11942303
    Abstract: Embodiments consistent with the disclosure herein include methods and a multi-beam apparatus configured to emit charged-particle beams for imaging a top and side of a structure of a sample, including: a deflector array including a first deflector and configured to receive a first charged-particle beam and a second charged-particle beam; a blocking plate configured to block one of the first charged-particle beam and the second charged-particle beam; and a controller having circuitry and configured to change the configuration of the apparatus to transition between a first mode and a second mode. In the first mode, the deflector array directs the second charged-particle beam to the top of the structure, and the blocking plate blocks the first charged-particle beam. And in the second mode, the first deflector deflects the first charged-particle beam to the side of the structure, and the blocking plate blocks the second charged-particle beam.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Yan Ren, Albertus Victor Gerardus Mangnus
  • Patent number: 11940739
    Abstract: A metrology tool for determining a parameter of interest of a structure fabricated on a substrate, the metrology tool comprising: an illumination optical system for illuminating the structure with illumination radiation under a non-zero angle of incidence; a detection optical system comprising a detection optical sensor and at least one lens for capturing a portion of illumination radiation scattered by the structure and transmitting the captured radiation towards the detection optical sensor, wherein the illumination optical system and the detection optical system do not share an optical element.
    Type: Grant
    Filed: December 27, 2021
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Arie Jeffrey Den Boef, Duygu Akbulut, Marinus Johannes Maria Van Dam, Hans Butler, Hugo Augustinus Joseph Cramer, Engelbertus Antonius Fransiscus Van Der Pasch, Ferry Zijp, Jeroen Arnoldus Leonardus Johannes Raaymakers, Marinus Petrus Reijnders
  • Patent number: 11942340
    Abstract: An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including an improved load lock unit is disclosed. An improved load lock system may comprise a plurality of supporting structures configured to support a wafer and a conditioning plate including a heat transfer element configured to adjust a temperature of the wafer. The load lock system may further comprise a gas vent configured to provide a gas between the conditioning plate and the wafer and a controller configured to assist with the control of the heat transfer element.
    Type: Grant
    Filed: July 6, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Jeroen Gerard Gosen, Te-Yu Chen, Dennis Herman Caspar Van Banning, Edwin Cornelis Kadijk, Martijn Petrus Christianus Van Heumen, Erheng Wang, Johannes Andreas Henricus Maria Jacobs
  • Patent number: 11940740
    Abstract: In a lithographic process, product units such as semiconductor wafers are subjected to lithographic patterning operations and chemical and physical processing operations. Alignment data or other measurements are made at stages during the performance of the process to obtain object data representing positional deviation or other parameters measured at points spatially distributed across each unit. This object data is used to obtain diagnostic information by performing a multivariate analysis to decompose a set of vectors representing the units in the multidimensional space into one or more component vectors. Diagnostic information about the industrial process is extracted using the component vectors. The performance of the industrial process for subsequent product units can be controlled based on the extracted diagnostic information.
    Type: Grant
    Filed: June 9, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Alexander Ypma, Jasper Menger, David Deckers, David Han, Adrianus Cornelis Matheus Koopman, Irina Lyulina, Scott Anderson Middlebrooks, Richard Johannes Franciscus Van Haren, Jochem Sebastiaan Wildenberg
  • Patent number: 11942302
    Abstract: Apparatuses and methods for charged-particle detection may include a deflector system configured to direct charged-particle pulses, a detector having a detection element configured to detect the charged-particle pulses, and a controller having a circuitry configured to control the deflector system to direct a first and second charged-particle pulses to the detection element; obtain first and second timestamps associated with when the first charged-particle pulse is directed by the deflector system and detected by the detection element, respectively, and third and fourth timestamps associated with when the second charged-particle pulse is directed by the deflector system and detected by the detection element, respectively; and identify a first and second exiting beams based on the first and second timestamps, and the third and fourth timestamps, respectively.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Arno Jan Bleeker, Pieter Willem Herman De Jager, Maikel Robert Goosen, Erwin Paul Smakman, Albertus Victor Gerardus Mangnus, Yan Ren, Adam Lassise
  • Patent number: 11940264
    Abstract: A method for calibrating a mirror of an interferometer system configured to measure a position of an object using two interferometers of the interferometer system that are arranged at opposite sides of the object and configured to measure the position of the object in the same X-direction, wherein two sets of measurements are obtained for different rotational orientations about an axis perpendicular to the X-direction to determine a shape of the mirror. There is also provided a position measuring method in which the obtained shape of the mirror is used to adjust measurements in the X-direction, a lithographic apparatus and a device manufacturing method making use of such a lithographic apparatus.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Mathias Theodorus Antonius Adriaens, Carolus Johannes Catharina Schoormans, Luuk Johannes Helena Seelen
  • Patent number: 11942304
    Abstract: Systems and methods for implementing a detector array are disclosed. According to certain embodiments, a substrate comprises a plurality of sensing elements including a first element and a second element, and a switching region therebetween configured to connect the first element and the second element. The switching region may be controlled based on signals generated in response to the sensing elements receiving electrons with a predetermined amount of energy.
    Type: Grant
    Filed: July 1, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Yongxin Wang, Zhonghua Dong, Rui-Ling Lai
  • Publication number: 20240095437
    Abstract: A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.
    Type: Application
    Filed: October 23, 2023
    Publication date: March 21, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Quan ZHANG, Yong-Ju Cho, Zhangnan Zhu, Boyang Huang, Been-Der Chen
  • Publication number: 20240094647
    Abstract: A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.
    Type: Application
    Filed: September 14, 2020
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Marcus Adrianus VAN DE KERKHOF, Ferdinandus Martinus Jozef Henricus VAN DE WETERING, Andrei Mikhailovich YAKUNIN
  • Publication number: 20240094640
    Abstract: A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).
    Type: Application
    Filed: January 21, 2022
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Thiago DOS SANTOS GUZELLA, Masashi ISHIBASHI, NoriaKi SANNO, Vahid BASTANI, Reza SAHRAEIAN, Putra SAPUTRA
  • Publication number: 20240096589
    Abstract: A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.
    Type: Application
    Filed: October 26, 2021
    Publication date: March 21, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Stoyan NIHTIANOV, Kenichi KANAI, Padmakumar RAMACHANDRA RAO
  • Publication number: 20240094643
    Abstract: A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.
    Type: Application
    Filed: December 20, 2021
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Filippo ALPEGGIANI, Harm Jan Willem BELT, Sebatianus Adrianus GOORDEN, Irwan Dani SETIJA, Simon Reinald HUISMAN, Henricus Petrus Maria PELLEMANS
  • Publication number: 20240094641
    Abstract: The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.
    Type: Application
    Filed: December 2, 2021
    Publication date: March 21, 2024
    Applicants: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Justin Lloyd KREUZER, Simon Reinald HUISMAN, Sebastianus Adrianus GOORDEN, Filippo ALPEGGIANI
  • Publication number: 20240085809
    Abstract: A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments.
    Type: Application
    Filed: November 21, 2023
    Publication date: March 14, 2024
    Applicants: Massachusetts Institute of Technology, ASML Netherlands B.V.
    Inventors: Lei ZHOU, David L. TRUMPER, Ruvinda GUNAWARDANA