Patents Examined by Johnnie L Smith
  • Patent number: 8354635
    Abstract: Ions originating from sample components are made to fly along a loop orbit (P) multiple times, and are deviated from the loop orbit (P) when a predetermined period of time has elapsed after the ejection of the ions. A time-of-flight spectrum recording unit (81) creates a time-of-flight spectrum based on the detected signal. If an overtaking of ions occurs on the loop orbit (P), the number of turns of peaks (ions) appearing on the spectrum cannot be determined. Given this factor, an isotopic peak detector (82) finds an isotopic peak group based on the time intervals and intensity ratio of a plurality of peaks appearing on the spectrum. A flight distance computation unit 83 uses the fact that the mass difference between adjacent peaks belonging to an isotopic peak group is 1 Da when ions are singly-charged, and computes the flight distance based on a predetermined formula.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: January 15, 2013
    Assignee: Shimadzu Corporation
    Inventor: Shinichi Yamaguchi
  • Patent number: 8350237
    Abstract: A method and apparatus for performing a slice and view technique with a dual beam system. The feature of interest in an image of a sample is located by machine vision, and the area to be milled and imaged in a subsequent slice and view iteration is determined through analysis of data gathered by the machine vision at least in part. A determined milling area may be represented as a bounding box around a feature, which dimensions can be changed in accordance with the analysis step. The FIB is then adjusted accordingly to slice and mill a new face in the subsequent slice and view iteration, and the SEM images the new face. Because the present invention accurately locates the feature and determines an appropriate size of area to mill and image, efficiency is increased by preventing the unnecessary milling of substrate that does not contain the feature of interest.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: January 8, 2013
    Assignee: FEI Company
    Inventor: Ryan Tanner
  • Patent number: 8347410
    Abstract: Disclosed is a method for examining a sample using a scanning tunneling microscope, wherein before or during image recording, a contrast agent is applied to at least one location on the tip of the scanning tunneling microscope and/or on the sample, which is part of the tunneling contact during the image recording, while a temperature less than or equal to the condensation temperature of the contrast agent is set at this location. A corresponding scanning tunneling microscope is disclosed.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: January 1, 2013
    Assignee: Forschungszentrum Juelich GmbH
    Inventors: Ruslan Temirov, Sergey Subach, Frank Stefan Tautz
  • Patent number: 8342337
    Abstract: A water sampling device and method for use with a radiation probe. The device includes a base, filtrate reservoir, filter media support disc, and sample reservoir. The sample reservoir may be interchanged with a radiation probe adaptor. The device and method provide a simple and convenient means to separate particulate solids from a water sample prior to measuring radioactivity without the need for a heat source or electrical power to evaporate the water. The device and method can be used with a variety of commercially available radiation probes and is suitable for field applications.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: January 1, 2013
    Assignee: The United States of America as Represented by the Secretary of the Army
    Inventors: James A. Genovese, Patrick M. Nolan
  • Patent number: 8337200
    Abstract: A hand-held apparatus for curing resins includes a housing, a heat sink, a light-emitting unit, a power supply unit and a light transmission unit. The heat sink is installed in the housing. The light-emitting unit is installed on the heat sink. The light-emitting unit has at least one first light-emitting element for generating first wavelength light to cure the resins, at least one second light-emitting element for generating second wavelength light to generate white light, and at least one light-reflecting element surrounding the at least one first light-emitting element and the at least one second light-emitting element for reflecting the first wavelength light and the second wavelength light. The power supply unit provides power for the light-emitting unit. The light transmission unit is coupled to the housing for transmitting the first wavelength light and the second wavelength light to the resins.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: December 25, 2012
    Assignee: Rolence Enterprise Inc.
    Inventors: Shan-Non Wang, Chia-Ming Chang
  • Patent number: 8334518
    Abstract: The invention presents a drinking water server having a configuration capable of suppressing generation of bacteria in a cold water tank, in a cold water pour tube, and in a pour valve. An opening part (2c) is formed in bottom side of a cold water tank (2), and a straight cold water pour tube (6) disposed horizontally in this opening part (2c) by way of a bent part (6b). An ultraviolet lamp (8) is disposed so as to reach up to a bottom part of the bent part (6b) by way of the opening part (2c) from inside of the cold water tank (2), so that ultraviolet rays emitted from the ultraviolet lamp (8) may be emitted uniformly both in the cold water tank (2) and in the duct of the cold water pour tube (6). As a valve body of a cold water pour valve (7), a diaphragm (71) for clogging the leading end of the cold water pour tube (6) is used, and dead angle of ultraviolet rays is eliminated.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: December 18, 2012
    Assignee: Noritz Corporation
    Inventors: Masaaki Matsuda, Hidehiro Takano, Takao Morigaki
  • Patent number: 8334524
    Abstract: Compositions and processes for forming radiopaque polymeric articles are disclosed. In one embodiment, radiation inspection apparatuses and methods are then used to determine the presence and attributes of such radiopaque polymeric articles. A radiopaque polymeric article of the present invention can be created by mixing a radiopaque material, such as barium, bismuth, tungsten or their compounds, with a powdered polymer, pelletized polymer or liquid solution, emulsion or suspension of a polymer in solvent or water. In addition to creating radiation detectable objects, the radiopaque polymeric materials of the present invention can be used to create radiation protective articles, such as radiation protective garments and bomb containment vessels. Enhanced radiation protection can also be achieved through the use of nano-materials. The principals of the present invention can be used to provide protection against other types of hazards, including fire, chemical, biological and projectile hazards.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: December 18, 2012
    Assignee: Meridian Research and Development
    Inventors: Ronald DeMeo, Joseph Kucherovsky, Amit Kurupathi
  • Patent number: 8332961
    Abstract: Tips including a platinum silicide at an apex of a single crystal silicon tip are provided herein. Also, techniques for creating a tip are provided. The techniques include depositing an amount of platinum (Pt) on a single crystal silicon tip, annealing the platinum and single crystal silicon tip to form a platinum silicide, and selectively etching the platinum with respect to the formed platinum silicide.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Harish Bhaskaran, Michel Despont, Ute Drechsler, Abu Sebastian
  • Patent number: 8324590
    Abstract: A chopper for a particle beam comprises an annular guiding element and an element for controlling the intensity of the particle beam. The control element is supported on the guiding element so that at least one point under consideration on the control element can revolve along the circumference of the guiding element. Mounting along a circumference allows for accommodation of considerably higher disturbance torque than mounting on a rotational axle, using the same bearing force. Furthermore, it is possible to dispense with the entire rotational axle, and the control element can be designed, for example, as a ring. This brings about considerable weight savings as compared to chopper wheels according to the prior art, which accordingly enables higher circumferential speeds and therefore higher modulation frequencies for the particle beam, while at the same time increasing operational safety.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: December 4, 2012
    Assignee: Forschungszentrum Juelich GmbH
    Inventors: Walter Renftle, Hans Kaemmerling, Michael Prager, Magdalena Prager, legal representative
  • Patent number: 8314986
    Abstract: A transparent electromagnetic wave-shielding filter, containing a support, a conductive layer provided on the support, and a hard coat layer provided on the conductive layer, wherein the conductive layer is formed by subjecting an emulsion layer which contains a silver halide and a binder and is coated on the support, to exposure and developing process, wherein the hard coat layer is formed by coating a coating liquid for forming the hard coat layer with a solvent on the conductive layer, and wherein the emulsion layer contains a compound soluble in the solvent of the coating liquid for forming the hard coat layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: November 20, 2012
    Assignee: Fujifilm Corporation
    Inventors: Hiroshi Sakuyama, Akira Ichiki, Hideaki Nomura
  • Patent number: 8314384
    Abstract: The invention relates to a linear multipole ion storage device which is suitable for reactions between positive and negative ions, and for fragmentation reactions by electron transfer dissociation (ETD) in particular. The invention uses a linear RF ion trap with at least three pairs of rods with a new type of electronic power supply. The two phases of a first RF voltage are applied to the pole rods alternately around the circumference and confine positive as well as negative ions in the radial direction. A second RF voltage is either applied single-phase to some of the pole rods, but not to all of them, or two-phase to unequal numbers of pole rods so that the axis potential oscillates with the frequency of this second RF voltage and generates a pseudopotential barrier which acts axially on ions of both polarities at the ends of the ion storage device. In the interior, the second RF produces a complex superposition field resulting in an increased fragmentation yield for ETD.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: November 20, 2012
    Assignee: Bruker Daltonik GmbH
    Inventors: Carsten Stoermer, Karsten Michelmann, Michael Schubert
  • Patent number: 8309944
    Abstract: Neutron optics based on the two-reflection geometries are capable of controlling beams of long wavelength neutrons with low angular divergence. The preferred mirror fabrication technique is a replication process with electroform nickel replication process being preferable. In the preliminary demonstration test an electroform nickel optics gave the neutron current density gain at the focal spot of the mirror at least 8 for neutron wavelengths in the range from 6 to 20 ?. The replication techniques can be also be used to fabricate neutron beam controlling guides.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: November 13, 2012
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Mikhail V. Gubarev, Brian D. Ramsey, Darell E. Engelhaupt
  • Patent number: 8304715
    Abstract: A measuring cell of an ICR mass spectrometer and a method of operating a measuring cell of the ICR mass spectrometer. The method and system trap ions in a first compartment of the ICR measuring cell by generating an electric potential well in the direction of the magnetic field with a minimum of the electric potential well located inside the first compartment. The method and system excite cyclotron motion of the ions trapped in the first compartment. The method and system transfer at least a part of the excited ions from the first compartment to a second compartment of the ICR measuring cell by displacement of a position of the minimum of the electric potential well from the first compartment to the second compartment.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: November 6, 2012
    Assignee: Science & Engineering Services, Inc.
    Inventors: Alexander Misharin, Roman Zubarev
  • Patent number: 8299421
    Abstract: A sample is ionized by chemical ionization by flowing the sample and a reagent gas into an ion source at a pressure below 0.1 Torr. While maintaining the ion source at a pressure below 0.1 Torr, the reagent gas is ionized in the ion source by electron ionization to produce reagent ions. The sample is reacted with the reagent ions at a pressure below 0.1 Torr to produce product ions of the sample. The product ions are transmitted into an ion trap for mass analysis.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: October 30, 2012
    Assignee: Agilent Technologies, Inc.
    Inventor: Gregory J. Wells
  • Patent number: 8299463
    Abstract: A test structure and method thereof for determining a defect in a sample of semiconductor device includes at least one transistor rendered grounded. The grounded transistor is preferably located at least one end of a test pattern designed to be included in the sample. When the test structure is inspected by charged particle beam inspection, the voltage contrast (VC) of the transistors in the test pattern including the grounded transistor is observed for determination of the presence of defect in the sample.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: October 30, 2012
    Assignee: Hermes Microvision, Inc.
    Inventor: Hong Xiao
  • Patent number: 8294092
    Abstract: A system and method for trapping a charged particle is disclosed. A time-varying periodic multipole electric potential is generated in a trapping volume. A charged particle under the influence of the multipole electric field is confined to the trapping volume. A three electrode configuration giving rise to a 3D Paul trap and a four planar electrode configuration giving rise to a 2D Paul trap are disclosed.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: October 23, 2012
    Assignees: Yale University, UT-Battelle, LLC, University of Tennessee Research Foundation
    Inventors: Mark A. Reed, Predrag S. Krstic, Weihua Guan, Xiongce Zhao
  • Patent number: 8294096
    Abstract: A charged particle beam device is provided, including: a charged particle beam source adapted to generate a charged particle beam on an axis; an optical aberration correction device and an objective lens device, which define a corrected beam aperture angle adjusted to reduce diffraction; and a charged particle beam tilting device; wherein the optical aberration correction device and the objective lens device are adapted to provide the charged particle beam with a beam aperture angle smaller than the corrected beam aperture angle; and wherein the charged particle beam tilting device is adapted to provide a beam tilt angle which is equal or less than the corrected beam aperture angle. Further, a method of operating a charged particle beam device is provided.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: October 23, 2012
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventor: Helmut Banzhof
  • Patent number: 8283629
    Abstract: A mass filter for an ion beam system includes at least two stages and reduces chromatic aberration. One embodiment includes two symmetrical mass filter stages, the combination of which reduces or eliminates chromatic aberration, and entrance and exit fringing field errors. Embodiments can also prevent neutral particles from reaching the sample surface and avoid crossovers in the beam path. In one embodiment, the filter can pass a single species of ion from a source that produces multiple species. In other embodiments, the filter can pass a single ion species with a range of energies and focus the multi-energetic ions at the same point on the substrate surface.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: October 9, 2012
    Assignee: FEI Company
    Inventors: David Tuggle, N. William Parker, Mark W. Utlaut
  • Patent number: 8274063
    Abstract: A composite focused ion beam device has a first ion beam irradiation system that irradiates a first ion beam for processing a sample and a second ion beam irradiation system that irradiates a second ion beam for processing or observing the sample. The first ion beam irradiation system has a plasma type gas ion source that generates first ions for forming the first ion beam, each of the first ions having a first mass. The second ion beam irradiation system has a gas field ion source that generates second ions for forming the second ion beam. Each of the second ions has a second mass smaller than that of the first mass.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: September 25, 2012
    Assignee: SII NanoTechnology Inc.
    Inventors: Takashi Kaito, Yoshitomo Nakagawa, Junichi Tashiro, Yasuhiko Sugiyama, Toshiaki Fujii, Kazuo Aita, Takashi Ogawa
  • Patent number: 8274243
    Abstract: Target respiration information is created in which a patient respiration pattern is set in advance to a cycle suitable for an operation cycle of a synchrotron, and the target respiration information is informed to the patient, so that a patient respiration timing becomes a state suitable for an operation of the synchrotron in such a manner that the patient consciously matches with the informed information.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: September 25, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventors: Hisashi Harada, Akihiko Hoshi