Patents Examined by Rudy Zervigon
  • Patent number: 11171025
    Abstract: Provided is an exhaust device with improved exhaust efficiency. The exhaust device includes: a plurality of exhaust ports in communication with an exhaust space and configured to exhaust gas in a first direction; a plurality of exhaust paths respectively connected to the plurality of exhaust ports; and a transfer port in communication with the plurality of exhaust paths and configured to exhaust gas in a second direction. More uniform processing of a substrate may be achieved through a substrate processing device using such an exhaust device.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: November 9, 2021
    Assignee: ASM IP Holding B.V.
    Inventor: WonKi Jeong
  • Patent number: 11168395
    Abstract: A flange, flange assembly, and reactor system including the flange and flange assembly are disclosed. An exemplary flange assembly includes heated and cooled sections to independently control temperatures of sections of the flange. Methods of using the flange, flange assembly and reactor system are also disclosed.
    Type: Grant
    Filed: March 11, 2020
    Date of Patent: November 9, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Sonti Sreeram, John Tolle, Joe Margetis, Junwei Su
  • Patent number: 11155920
    Abstract: Provided is a technique capable of purging a adiabatic region without adversely affecting a processing region. A process chamber including a processing region for processing a substrate and a adiabatic region located below the processing region is included inside. A first exhaust portion for discharging an atmosphere of the processing region, and a second exhaust portion for discharging an atmosphere of the adiabatic region, formed at a position overlapping with the adiabatic region in a height direction, are included.
    Type: Grant
    Filed: April 8, 2020
    Date of Patent: October 26, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Hidenari Yoshida, Tomoshi Taniyama, Takayuki Nakada
  • Patent number: 11155916
    Abstract: Apparatus and methods for pumping gases from a chamber are disclosed. In one example, an apparatus for evacuating gases from a chemical vapor deposition (CVD) chamber is disclosed. The apparatus includes: a housing including an internal surface and at least one inlet in fluid communication with the CVD chamber; and a coating on the internal surface. The coating is configured to make the internal surface hydrophobic.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: October 26, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Fung-Chih Huang
  • Patent number: 11154903
    Abstract: An apparatus and a method for surface coating by means of grid control and plasma-initiated gas-phase polymerization. The method comprises: dividing a vacuum chamber into a discharging cavity (2) and a processing chamber (3) by using a metal grid mesh (1), the metal grid mesh (1) being insulated from the vacuum chamber; separately feeding carrier gas and monomer steam into the discharging cavity (2) and the processing chamber (3) through different pipes (4, 5), putting a substrate to be processed (11) in the processing chamber (3), and generating in the discharging cavity (2) plasma that continuously discharges; and applying pulse positive bias to the metal grid mesh (1), to release the plasma into the processing chamber (3) to initiate monomer polymerization.
    Type: Grant
    Filed: August 13, 2020
    Date of Patent: October 26, 2021
    Assignee: JIANGSU FAVORED NANOTECHNOLOGY CO., LTD.
    Inventor: Jian Zong
  • Patent number: 11145492
    Abstract: A local dry etching apparatus includes a vacuum chamber that has a predetermined degree of vacuum therewithin, a nozzle including a first end and a second end, at least the first end of the nozzle open to the vacuum chamber, a discharge tube including a first end that is connected to the second end of the nozzle, a plasma generator provided in the discharge tube, an electromagnetic wave irradiation part configured to oscillate electromagnetic waves and connected to the discharge tube via the electromagnetic wave transmitter to introduce the oscillated electromagnetic waves to the plasma generator, and a spacer interposed between the nozzle and the discharge tube.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: October 12, 2021
    Assignee: SPEEDFAM CO., LTD.
    Inventor: Yasushi Obara
  • Patent number: 11139175
    Abstract: A method includes anisotropically etching an etching target layer of a target object through an opening of the target object by generating plasma of a first gas within a processing vessel in which the target object is accommodated; and then forming a film on an inner surface of the opening by repeating a sequence comprising: a first process of supplying a second gas into the processing vessel; a second process of purging a space within the processing vessel; a third process of generating plasma of a third gas containing an oxygen atom within the processing vessel; and a fourth process of purging the space within the processing vessel. The first gas contains a carbon atom and a fluorine atom. The second gas contains an aminosilane-based gas. The etching target layer is a hydrophilic insulating layer containing silicon. Plasma of the first gas is not generated in the first process.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: October 5, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshihide Kihara, Toru Hisamatsu, Masahiro Tabata
  • Patent number: 11130142
    Abstract: Embodiments of showerheads having a detachable gas distribution plate are provided herein. In some embodiments, a showerhead for use in a substrate processing chamber includes a body having a first side and an opposing second side; a gas distribution plate disposed proximate the second side of the body; and a clamp disposed about a peripheral edge of the gas distribution plate to removably couple the gas distribution plate to the body, wherein the body is electrically coupled to the gas distribution plate through the clamp.
    Type: Grant
    Filed: April 10, 2020
    Date of Patent: September 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dmitry Lubomirsky, Vladimir Knyazik, Hamid Noorbakhsh, Jason Della Rosa, Zheng John Ye, Jennifer Y. Sun, Sumanth Banda
  • Patent number: 11133156
    Abstract: According to the present invention, an electrode plate for a plasma processing apparatus is provided, which includes an air hole through which a gas for plasma generation passes, the electrode plate for a plasma processing apparatus including: a base; and a coating layer provided on at least one front surface of the base, and in which the base is formed of a material having a plasma resistance higher than the plasma resistance of a material forming the coating layer.
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: September 28, 2021
    Assignee: MITSUBISHI MATERIALS CORPORATION
    Inventors: Satoshi Nomura, Syuji Fujimori
  • Patent number: 11127567
    Abstract: A substrate processing system includes: a processing chamber defining a reaction volume; a showerhead including: a stem portion having one end connected adjacent to an upper surface of the processing chamber; and a base portion connected to an opposite end of the stem portion and extending radially outwardly from the stem portion, where the showerhead is configured to introduce gas into the reaction volume; a plasma generator configured to selectively generate RF plasma in the reaction volume; and a collar arranged around the stem portion of the showerhead between the base portion of the showerhead and the upper surface of the processing chamber. The collar includes one or more holes to supply purge gas from an inner cavity of the collar to between the base portion of the showerhead and the upper surface of the processing chamber.
    Type: Grant
    Filed: May 4, 2020
    Date of Patent: September 21, 2021
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Hu Kang, Adrien LaVoie, Shankar Swaminathan, Jun Qian, Chloe Baldasseroni, Frank Pasquale, Andrew Duvall, Ted Minshall, Jennifer Petraglia, Karl Leeser, David Smith, Sesha Varadarajan, Edward Augustyniak, Douglas Keil
  • Patent number: 11124872
    Abstract: Described herein is a technique capable of suppressing an air atmosphere from entering a process chamber. According to one aspect thereof, there is provided a substrate processing apparatus including: a substrate support configured to support a substrate; a process chamber having a first space where the substrate is processed; an exhaust part configured to exhaust atmosphere of the first space; and a gas supply system including: a gas introduction pipe configured to supply gas to the first space; a process gas transfer pipe configured to communicate with the gas introduction pipe; a joint part configured to cover an adjacent part provided adjacent to the gas introduction pipe and the process gas transfer pipe in a second space outside the first space, and configured to fix the gas introduction pipe with the process gas transfer pipe; and a pressure adjustment part provided between the adjacent part and the second space.
    Type: Grant
    Filed: February 14, 2019
    Date of Patent: September 21, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Mikio Ohno, Satoru Murata
  • Patent number: 11121320
    Abstract: Embodiments of the disclosed subject matter provide a device including a micronozzle array having separate redundant groups of depositors that each include a delivery aperture disposed between two exhaust apertures. The device may include a first row of depositors of a first redundant group, each of which may be connected in parallel to first common delivery lines and first common exhaust lines. The device may include a second row of depositors of a second redundant group, each of which is connected in parallel to second common delivery and second common exhaust lines. The first row of depositors and the second row of depositors may operate independently from one another. The device may be disposed within a deposition chamber and in proximity of a substrate.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: September 14, 2021
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Gregory McGraw, Gregg Kottas, Xin Xu, Julia J. Brown
  • Patent number: 11110425
    Abstract: Apparatus and methods for providing high velocity gas flow showerheads for deposition chambers are described. The showerhead has a faceplate in contact with a backing plate that has a concave portion to provide a plenum between the backing plate and the faceplate. A plurality of thermal elements is within the concave portion of the backing plate and extends to contact the faceplate.
    Type: Grant
    Filed: July 26, 2019
    Date of Patent: September 7, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Sanjeev Baluja, Joseph AuBuchon, Dhritiman Subha Kashyap, Michael Rice
  • Patent number: 11111582
    Abstract: A showerhead assembly includes a support structure and a porous plate. The support structure includes a support feature. The porous plate has a thermal conductivity of at least about 50 W/(mK) and includes a plurality of pores having an average diameter of less than about 100 um, wherein at least a portion of a perimeter of the porous plate rests on the support feature. The showerhead may be included within a processing chamber that is utilized to process a substrate.
    Type: Grant
    Filed: March 3, 2020
    Date of Patent: September 7, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Sumit Agarwal, Chad Peterson, Marc Shull
  • Patent number: 11111579
    Abstract: A deposition equipment is provided. The deposition equipment includes: a reaction chamber including an upper plate and a container body, the upper plate including a gas supplier for injecting a processing gas; a wafer chuck including an upper surface on which a wafer is loaded, in the reaction chamber, with the upper surface of the wafer chuck facing the upper plate; and a processing gas shielding section which prevents the processing gas from being adsorbed to the upper surface of the wafer chuck and is disposed between the upper plate and the wafer chuck in a state in which the wafer is removed from the wafer chuck. The processing gas shielding section includes a shutter which is plate-like, and the shutter includes a region including a gas discharge section for jetting a purging gas toward the wafer chuck.
    Type: Grant
    Filed: April 17, 2019
    Date of Patent: September 7, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sun Yong Hwang, Hyun Su Kim, Eun-Ok Lee, Taek Jung Kim, Hyo Jung Noh, Ji Won Yu
  • Patent number: 11114283
    Abstract: A reactor for processing substrates and methods for manufacturing and using the reactor are disclosed. Specifically, the reactor can include a material that forms gas compounds. The gas compounds are then easily removed from the reactor, thus reducing or avoiding contamination of the substrates in the reactor that would otherwise arise.
    Type: Grant
    Filed: March 16, 2018
    Date of Patent: September 7, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Tom Blomberg, Varun Sharma, Chiyu Zhu
  • Patent number: 11107663
    Abstract: A plasma processing system includes a chamber, a gas supply unit, a gas exhaust unit, a separating unit, a boost unit and an accumulation unit. The chamber is configured to process a target substrate by plasma of a gaseous mixture of a rare gas and a processing gas. The gas supply unit is configured to supply the rare gas and the processing gas into the chamber. The gas exhaust unit is configured to exhaust a gas containing the rare gas from the chamber. The separating unit is configured to separate the rare gas from the gas exhausted by the gas exhaust unit. The boost unit is configured to boost the rare gas separated by the separating unit. The accumulation unit is configured to accumulate the rare gas boosted by the boost unit and supply the accumulated first rare gas to the gas supply unit.
    Type: Grant
    Filed: February 8, 2019
    Date of Patent: August 31, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Moyama, Kazuya Nagaseki
  • Patent number: 11104988
    Abstract: Embodiments of the disclosed subject matter provide a vapor distribution manifold that ejects organic vapor laden gas into a chamber and withdraws chamber gas, where vapor ejected from the manifold is incident on, and condenses onto, a deposition surface within the chamber that moves relative to one or more print heads in a direction orthogonal to a platen normal and a linear extent of the manifold. The volumetric flow of gas withdrawn by the manifold from the chamber may be greater than the volumetric flow of gas injected into the chamber by the manifold. The net outflow of gas from the chamber through the manifold may prevent organic vapor from diffusing beyond the extent of the gap between the manifold and deposition surface. The manifold may be configured so that long axes of delivery and exhaust apertures are perpendicular to a print direction.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: August 31, 2021
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Gregory McGraw, Matthew King, Gregg Kottas
  • Patent number: 11101164
    Abstract: A substrate processing system includes a first chamber including a substrate support. A showerhead is arranged above the first chamber and is configured to filter ions and deliver radicals from a plasma source to the first chamber. The showerhead includes a heat transfer fluid plenum, a secondary gas plenum including an inlet to receive secondary gas and a plurality of secondary gas injectors to inject the secondary gas into the first chamber, and a plurality of through holes passing through the showerhead. The through holes are not in fluid communication with the heat transfer fluid plenum or the secondary gas plenum.
    Type: Grant
    Filed: March 16, 2020
    Date of Patent: August 24, 2021
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Rachel Batzer, Huatan Qiu, Bhadri Varadarajan, Patrick Girard Breiling, Bo Gong, Will Schlosser, Zhe Gui, Taide Tan, Geoffrey Hohn
  • Patent number: 11094563
    Abstract: An improved fluid delivery system and method that directly controls the concentration of constituent components in a fluid mixture delivered, for example, to a process chamber. Pressure of the fluid mixture can also be directly controlled. A concentration sensor capable of measuring concentration of all of the constituent components in a fluid mixture is used to provide signals used to vary the flow rate of constituent gases under a closed loop feedback system. The signal output of one or more pressure sensors can also be used to provide a signal used to vary the flow rate of constituent gases under a closed loop feedback system. By directly controlling these two extremely important process variables, embodiments of the present invention provide a significant advantage in measurement accuracy over the prior art, enable real-time process control, reduce system level response time, and allow for a system with a significant footprint reduction.
    Type: Grant
    Filed: February 19, 2018
    Date of Patent: August 17, 2021
    Inventors: Philip Ryan Barros, Greg Patrick Mulligan, Chris Melcer