Patents Examined by Stephen Rosasco
  • Patent number: 9417515
    Abstract: An extreme ultraviolet mirror or blank production system includes: a first deposition system for depositing a planarization layer over a semiconductor substrate; a second deposition system for depositing an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; and a third deposition system for depositing a multi-layer stack over the ultra-smooth layer. The extreme ultraviolet blank includes: a substrate; a planarization layer over the substrate; an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; a multi-layer stack; and capping layers over the multi-layer stack. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a planarization layer and an ultra-smooth layer over the planarization layer; and a wafer stage for placing a wafer.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: August 16, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Soumendra N. Barman, Cara Beasley, Abhijit Basu Mallick, Ralf Hofmann, Nitin K. Ingle
  • Patent number: 9405184
    Abstract: Here are disclosed a pellicle for EUV and an assembly made up of this pellicle and a mask, which brings about a projection of low contrast (intensity) shadows of a mesh structure on the mask, thus minimizing the adverse effect of the shadow on the lithographic printing; also a method for assembling such assembly is disclosed wherein the pellicle is rotated relative to the mask to minimize the shadow contrast, in terms of a contrast ratio, of the mesh structure; the angle of the rotation is 30 degrees or smaller, and the resultant contrast ratio should be 25% or lower.
    Type: Grant
    Filed: January 16, 2015
    Date of Patent: August 2, 2016
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Motoyuki Yamada, Shoji Akiyama
  • Patent number: 9400421
    Abstract: A mask blank substrate is provided with a substrate mark comprising an oblique section. The inclination angle of the substrate mark with respect to a main surface is greater than 45° and less than 90° and the distance from the boundary between the main surface and the substrate mark to the outer periphery of the mask blank substrate is less than 1.5 mm.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: July 26, 2016
    Assignee: HOYA CORPORATION
    Inventors: Tatsuya Sasaki, Takahito Nishimura
  • Patent number: 9401281
    Abstract: A mask set is described. In one implementation, the mask set includes: a first plurality of base layer masks, where each base layer mask of the first plurality of base layer masks includes a plurality of base layer tiles of a first tile size; a first plurality of top layer masks, where each top layer mask of the first plurality of top layer masks includes a plurality of first top layer tiles of the first tile size; and a second plurality of top layer masks, where each top layer mask of the second plurality of top layer masks includes a plurality of second top layer tiles of a second tile size; where the second tile size is different from the first tile size. Also, a method of fabricating a plurality of integrated circuits (ICs) is described.
    Type: Grant
    Filed: May 19, 2014
    Date of Patent: July 26, 2016
    Assignee: Altera Corporation
    Inventors: Jordan Plofsky, Chooi Pei Lim, Danny Biran, Francis Man-Chit Chow
  • Patent number: 9395634
    Abstract: A pellicle is proposed in which the frame is made of an aluminum alloy and at least the inner wall of the frame is entirely coated with a pure aluminum layer, which preferably has a purity of 99.7% or higher and a thickness of 3 through 50 micrometers; the pellicle frame with the pure aluminum layer may be subjected to anodic oxidation treatment, electric coloring, and void filling, and a resin layer can be formed as the outermost layer.
    Type: Grant
    Filed: January 31, 2014
    Date of Patent: July 19, 2016
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Kazutoshi Sekihara
  • Patent number: 9389345
    Abstract: An optical element includes: an incident surface irradiated with irradiation light; an emission surface of which at least a part faces a direction opposite to the incident surface; and a metal film having a hole to connect the incident surface and emission surface. The incident surface includes a first surface, disposed around an end of the hole on the incident surface side and having an inner edge connected to an inner surface of the hole, and a second surface disposed around the first surface forming a discontinuous portion between the second surface and an outer edge of the first surface. The distance between the inner and outer edges is determined by a wavelength of surface plasmons such that an intensity of light is increased due to interference between surface plasmons, excited at the inner edge by the irradiation light, and surface plasmons traveling from the discontinuous portion.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: July 12, 2016
    Assignee: NIKON CORPORATION
    Inventor: Daisuke Mori
  • Patent number: 9389501
    Abstract: A photomask blank for use in the manufacture of a photomask adapted to be applied with exposure light having a wavelength of 200 nm or less has a thin film on a transparent substrate. The thin film is made of a material containing a transition metal, silicon, and carbon and comprising silicon carbide and/or a transition metal carbide.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: July 12, 2016
    Assignee: HOYA CORPORATION
    Inventors: Masahiro Hashimoto, Toshiyuki Suzuki, Hiroyuki Iwashita
  • Patent number: 9389499
    Abstract: A pellicle was well as an assembly of photomask plus pellicle is proposed in which the conventional agglutinant layer which bonds the pellicle to photomask is replaced by male and female screws and elastic body layer; in particular the pellicle frame is screwed to the photomask while the sealing of the space within the pellicle frame is secured by the elastic body layer.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: July 12, 2016
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Kazutoshi Sekihara
  • Patent number: 9390934
    Abstract: A technique of forming an asymmetric pattern by using a phase shift mask, and further, techniques of manufacturing a diffraction grating and a semiconductor device, capable of improving accuracy of a product and capable of shortening manufacturing time. In a method of manufacturing a diffraction grating by using a phase shift mask (in which a light shield part and a light transmission part are periodically arranged), light emitted from an illumination light source is transmitted through the phase shift mask, and a photoresist on a surface of a Si wafer is exposed by providing interference between zero diffraction order light and positive first diffraction order light which are generated by the transmission through this phase shift mask onto the surface of the Si wafer, and a diffraction grating which has a blazed cross-sectional shape is formed on the Si wafer.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: July 12, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Kazuyuki Kakuta, Toshihiko Onozuka, Shigeru Matsui, Yoshisada Ebata, Norio Hasegawa
  • Patent number: 9383639
    Abstract: An embodiment of the present invention discloses a mask. The mask comprises: a substrate; a light transmission area on the substrate; a light shielding area on the substrate, provided with at least two light shielding layers, each of the at least two light shielding layers having a preset mask pattern and being conductive; and one or more connecting wire(s), configured to respectively electrically connect the at least two light shielding layers.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: July 5, 2016
    Assignees: BOE Technology Group Co., Ltd., Beijing BOE Display Technology Co., Ltd.
    Inventors: Kiyong Kim, Zengbiao Sun, Tao Wang, Chaoqin Xu
  • Patent number: 9383640
    Abstract: Embodiments of the invention disclose a mask plate and a method for detecting an exposure defect using the mask plate. The mask includes a mask pattern, and the mask further includes a plurality of detection-mark mask patterns arranged along a scan direction of an exposure machine, the detection-mark mask patterns are arranged at an edge of the mask pattern. The detection-mark mask patterns are adapted for forming detection marks on a substrate. The detection marks are adapted for reflecting exposure defects of the exposure machine. With the mask plate of the invention, the reason for the exposure defect may be precisely decided, thereby improving the exposure effect and improving the parameter index of the substrate.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 5, 2016
    Assignees: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Dawei Shi, Jian Guo
  • Patent number: 9383637
    Abstract: An object of the present invention is to provide a substrate with a multilayer reflective film and the like used in the manufacturing of a reflective mask blank for EUV lithography which is to be subjected to dry etching with a Cl-based gas, wherein in the substrate with the multilayer reflective film, the loss of protective films by the dry etching and subsequent wet cleaning is very limited. The present invention is a substrate with a multilayer reflective film used in the manufacturing of a reflective mask blank for EUV lithography, comprising a substrate, a multilayer reflective film disposed on the substrate to reflect EUV light, and a protective film disposed on the multilayer reflective film to protect the multilayer reflective film, the protective film includes an alloy containing at least two metals, the alloy being an all-proportional solid solution.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: July 5, 2016
    Assignee: HOYA CORPORATION
    Inventors: Takahiro Onoue, Toshihiko Orihara
  • Patent number: 9377680
    Abstract: Provided is an integrated circuit (IC) testline layout. The layout has a device boundary and a main pattern boundary inside the device boundary. The layout includes at least one main pattern inside the main pattern boundary. The layout further includes a plurality of dummy patterns in a region that is between the main pattern boundary and the device boundary. The plurality of dummy patterns is printable in a photolithography process and is arranged in a ring with a uniform spacing between two adjacent dummy patterns.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: June 28, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Fan Chen, Tung-Heng Hsieh, Chin-Shan Hou, Yu-Bey Wu
  • Patent number: 9372393
    Abstract: A mask blank for manufacturing a transfer mask adapted to be applied with ArF excimer laser exposure light that has a transparent substrate and a light-shielding film formed into a transfer pattern. The light-shielding film has at least two-layers, one a lower layer composed mainly of a first material containing a transition metal, silicon, and nitrogen, and the other an upper layer composed mainly of a second material containing a transition metal, silicon, and nitrogen. A ratio of a first etching rate of the lower layer to a second etching rate of the upper layer is 1.0 or more and 5.0 or less in etching carried out by supplying a fluorine-containing substance onto a target portion and irradiating charged particles to the target portion. Another ratio satisfies the following formula CN??0.00526CMo2?0.640CMo+26.624.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: June 21, 2016
    Assignee: HOYA CORPORATION
    Inventors: Atsushi Kominato, Osamu Nozawa, Hiroyuki Iwashita, Masahiro Hashimoto
  • Patent number: 9366951
    Abstract: A halftone phase shift photomask blank comprising a transparent substrate and a halftone phase shift film is provided. The phase shift film consists of Si and N, or Si, N and O, and is free of transition metals. The phase shift film has a thickness of 40-70 nm, offers a transmittance of 10-40% and a phase shift of 150-200° relative to light of wavelength up to 200 nm, and is resistant to cleaning chemicals.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: June 14, 2016
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Toyohisa Sakurada, Hideo Kaneko, Takuro Kosaka, Kouhei Sasamoto
  • Patent number: 9366953
    Abstract: The present disclosure provides a lithography mask comprising a substrate, a reflective multiplayer (ML) on the substrate, a barrier layer on the reflective ML, and an absorber layer over the barrier layer. In some embodiments, a thickness of the barrier layer is less than or equal to about 10 nm. In some embodiments, a portion of the absorber layer and a portion of the barrier layer are removed. The present disclosure also provides a method for fabricating a lithography mask, and a method for patterning a substrate using a lithography mask.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: June 14, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yun-Yue Lin, Hsin-Chang Lee, Chia-Jen Chen, Anthony Yen
  • Patent number: 9354510
    Abstract: An extreme ultraviolet (EUV) mask can be used in lithography, such as is used in the fabrication of a semiconductor wafer. The EUV mask includes a low thermal expansion material (LTEM) substrate and a reflective multilayer (ML) disposed thereon. A capping layer is disposed on the reflective ML and a patterned absorption layer disposed on the capping layer. The pattern includes an antireflection (ARC) type pattern.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Fang Yu, Ting-Hao Hsu, Sheng-Chi Chin
  • Patent number: 9354508
    Abstract: An integrated extreme ultraviolet (EUV) blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a first deposition system for depositing a planarization layer having a planarized top surface over the substrate; and a second deposition system for depositing a multi-layer stack on the planarization layer without removing the substrate from the vacuum. The EUV blank is in an EUV lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the EUV source; a reticle stage for placing a EUV mask blank with a planarization layer; and a wafer stage for placing a wafer. The EUV blank includes: a substrate; a planarization layer to compensate for imperfections related to the surface of the substrate, the planarization layer having a flat top surface; and a multi-layer stack on the planarization layer.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: May 31, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Cara Beasley, Ralf Hofmann, Majeed Foad, Timothy Michaelson
  • Patent number: 9354509
    Abstract: A mask blank is used for manufacturing a binary mask adapted to be applied with ArF excimer laser exposure light and has a light-shielding film for forming a transfer pattern on a transparent substrate. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for the exposure light. The lower layer is made of a material containing tantalum and nitrogen and has a thickness of 33 nm or more. The upper layer is made of a material containing tantalum and oxygen and has a thickness of 3 nm or more. The phase difference between the exposure light transmitted through the light-shielding film and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 60 degrees or less.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: May 31, 2016
    Assignee: HOYA CORPORATION
    Inventors: Noriyuki Sakaya, Osamu Nozawa
  • Patent number: 9354507
    Abstract: The present disclosure is directed towards an extreme ultraviolet (EUV) mask. The EUV mask includes a low thermal expansion material (LTEM) substrate. The EUV mask has a first region and a second region. The EUV mask also includes a structure disposed in the first region. The structure has a multiple facets with an angle to each other. The EUV mask also includes a conformal reflective multilayer (ML) disposed over the structure in the first region and over the LTEM substrate in the second region. The conformal reflective ML has a similar surface profile as the structure in the first region and a flat surface profile in the second region.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen