Patents by Inventor Chi-chun Liu

Chi-chun Liu has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11983475
    Abstract: A semiconductor device includes: M*1st conductors in a first layer of metallization (M*1st layer) and being aligned correspondingly along different corresponding ones of alpha tracks and representing corresponding inputs of a cell region in the semiconductor device; and M*2nd conductors in a second layer of metallization (M*2nd layer) aligned correspondingly along beta tracks, and the M*2nd conductors including at least one power grid (PG) segment and one or more of an output pin or a routing segment; and each of first and second ones of the input pins having a length sufficient to accommodate at most two access points; each of the access points of the first and second input pins being aligned to a corresponding different one of first to fourth beta tracks; and the PG segment being aligned with one of the first to fourth beta tracks.
    Type: Grant
    Filed: February 7, 2023
    Date of Patent: May 14, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Pin-Dai Sue, Po-Hsiang Huang, Fong-Yuan Chang, Chi-Yu Lu, Sheng-Hsiung Chen, Chin-Chou Liu, Lee-Chung Lu, Yen-Hung Lin, Li-Chun Tien, Yi-Kan Cheng
  • Patent number: 11923237
    Abstract: A manufacturing method of a semiconductor device includes at least the following steps. A sacrificial substrate is provided. An epitaxial layer is formed on the sacrificial substrate. An etch stop layer is formed on the epitaxial layer. Carbon atoms are implanted into the etch stop layer. A capping layer and a device layer are formed on the etch stop layer. A handle substrate is bonded to the device layer. The sacrificial substrate, the epitaxial layer, and the etch stop layer having the carbon atoms are removed from the handle substrate.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: March 5, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Ming Chen, Kuei-Ming Chen, Po-Chun Liu, Chung-Yi Yu, Chia-Shiung Tsai
  • Patent number: 11921001
    Abstract: A method and system for inspecting deviation in dynamic characteristics of a feeding system are provided, and the method includes: exciting the feeding system and detecting vibrations of a subcomponent of a component to be inspected of the feeding system to generate a monitoring excitation signal in a monitoring mode; calculating, by a modal analysis method, monitoring eigenvalues and monitoring eigenvectors of the monitoring excitation signal; determining, by a modal verification method, similarity between the monitoring eigenvalues and standard eigenvalues of a digital twin model and similarity between the monitoring eigenvectors and standard eigenvectors of the digital twin model; determining that the dynamic characteristics of the subcomponent are deviated, when the monitoring eigenvalues and monitoring eigenvectors are not similar to the standard eigenvalues and standard eigenvectors. Therefore, the subcomponent whose dynamic characteristics are deviated can be sensed remotely and precisely.
    Type: Grant
    Filed: March 11, 2022
    Date of Patent: March 5, 2024
    Assignee: Hiwin Technologies Corp.
    Inventors: Hsien-Yu Chen, Yu-Sheng Chiu, Chih-Chun Cheng, Wen-Nan Cheng, Chi-Ming Liu
  • Publication number: 20240030036
    Abstract: A method for forming fins includes forming a three-color hardmask fin pattern on a fin base layer. The three-color hardmask fin pattern includes hardmask fins of three mutually selectively etchable compositions. Some of the fins of the first color are etched away with a selective etch that does not remove fins of a second color or a third color and that leaves at least one fin of the first color behind. The fins of the second color are etched away. Fins are etched into the fin base layer by anisotropically etching around remaining fins of the first color and fins of the third color.
    Type: Application
    Filed: February 14, 2023
    Publication date: January 25, 2024
    Inventors: Sean D. Burns, Nelson M. Felix, Chi-Chun Liu, Yann A.M. Mignot, Stuart A. Sieg
  • Patent number: 11688636
    Abstract: A method of manufacturing a semiconductor device is provided. The method includes forming a plurality of metal lines on substrate, forming a sacrificial dielectric material layer between the metal lines, forming a hardmask over at least one of the metal lines, etching at least one of the metal lines that is not covered by the hardmask, treating the sacrificial dielectric material layer to soften the layer. The method also includes removing the treated sacrificial dielectric material layer.
    Type: Grant
    Filed: June 18, 2021
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Somnath Ghosh, Karen Elizabeth Petrillo, Cody J. Murray, Ekmini Anuja De Silva, Chi-Chun Liu, Dominik Metzler, John Christopher Arnold
  • Patent number: 11682558
    Abstract: A semiconductor structure includes a set of mandrel lines and a set of non-mandrel lines disposed on a hardmask in an alternating pattern. Spacers are disposed between adjacent mandrel lines and non-mandrel lines. The spacers include a composition which exhibits an etch rate greater than an etch rate of the mandrel lines and the non-mandrel lines.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: June 20, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chi-Chun Liu, Ashim Dutta, Nelson Felix, Ekmini Anuja De Silva
  • Publication number: 20230187350
    Abstract: A semiconductor device includes a conductive line disposed within a dielectric layer, a metal layer disposed over and in direct contact with the conductive line, and a metallization layer disposed over the metal layer such that a protruding segment of the metal layer acts as an interface between the conductive line and the metallization layer. The conductive line is copper (Cu) and the metal layer is ruthenium (Ru). The Ru metal layer includes an upper metal layer section and a lower metal layer section.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: Hsueh-Chung Chen, Yann Mignot, Chi-Chun Liu, Mary Claire Silvestre, Jennifer Oakley
  • Patent number: 11670580
    Abstract: Structures are provided that include a metal-insulator-metal capacitor (MIMCAP) present in the back-end-of-the-line (BEOL). The MIMCAP includes at least one of the bottom electrode and the top electrode having a via portion and a base portion that is formed utilizing a subtractive via etch process. Less via over etching occurs resulting in improved critical dimension control of the bottom and/or top electrodes that are formed by the subtractive via etch process. No bottom liner is present in the MIMCAP thus improving the resistance/capacitance of the device. Also, and in some embodiments, a reduced foot-print area is possible to bring the via portion of the bottom electrode closer to the top electrode.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Mary Claire Silvestre, Chi-Chun Liu
  • Publication number: 20230170293
    Abstract: The present invention relates to integrated circuits and related method steps for forming an IC chip. The method steps result in semiconductor device structures that include redundant same via level formation using a top via subtractive etch and bottom via from dual damascene etch techniques. In embodiments, the same level redundancy via option is optional. Provision of redundant same via level connections using dual damascene processes improves device resistance and capacitive performance. Further method steps result in semiconductor device structures that include a direct super via connection bypassing subtractive etch metal level via formations. These highlighted method steps increase design flexibility—and reduce device footprint (by skipping a metal level) with the benefit of reduced via connection height and shorter metal connections.
    Type: Application
    Filed: November 29, 2021
    Publication date: June 1, 2023
    Inventors: Yann Mignot, Chanro Park, Jacques Simon, Hsueh-Chung Chen, Chi-Chun Liu
  • Publication number: 20230138978
    Abstract: A method of semiconductor manufacture comprising forming a plurality of first mandrels as the top layer of the multi-layered hard mask and forming a first spacer around each of the plurality of first mandrels. Removing the plurality of first mandrels and cutting the first spacer to form a plurality of second mandrels. Forming a second spacer around each of the plurality of second mandrels and forming a first self-aligned pattern that includes a plurality of third mandrels. Removing the plurality of second mandrels and the second spacer and etching the multi-layered hard mask to transfer the first-self aligned pattern to a lower layer of the multi-layered hard mask. Forming a second self-aligned pattern, wherein the second self-aligned pattern is intermixed with the first self-aligned pattern and etching the first self-aligned pattern and the second self-aligned pattern into the conductive metal layer.
    Type: Application
    Filed: November 1, 2021
    Publication date: May 4, 2023
    Inventors: CHANRO PARK, Chi-Chun LIU, Stuart Sieg, Yann Mignot, Koichi Motoyama, Hsueh-Chung Chen
  • Publication number: 20230114163
    Abstract: A semiconductor structure comprises a plurality of gate structures alternately stacked with a plurality of channel layers, and a plurality of spacers disposed on lateral sides of the plurality gate structures. The respective ones of the plurality of spacers comprise a profile having a first portion comprising a first shape and a second portion comprising a second shape, wherein the first shape is different from the second shape.
    Type: Application
    Filed: September 27, 2021
    Publication date: April 13, 2023
    Inventors: Yi Song, Chi-Chun Liu, Robin Hsin Kuo Chao, Muthumanickam Sankarapandian
  • Publication number: 20230100368
    Abstract: A device includes: a first dielectric material; a first metal line in the first dielectric material; a second dielectric material disposed on the first dielectric material and the first metal line; a second metal line in the second dielectric material; and a plurality of metal vias disposed on a same level and connecting the first metal line and the second metal line, wherein the plurality of metal vias comprise a first top via and a bottom via having different sidewall profile angles.
    Type: Application
    Filed: September 24, 2021
    Publication date: March 30, 2023
    Inventors: Hsueh-Chung Chen, Yann Mignot, Su Chen Fan, Mary Claire Silvestre, Chi-Chun LIU, Junli Wang
  • Publication number: 20230096938
    Abstract: A semiconductor structure includes a set of mandrel lines and a set of non-mandrel lines disposed on a hardmask in an alternating pattern. Spacers are disposed between adjacent mandrel lines and non-mandrel lines. The spacers include a composition which exhibits an etch rate greater than an etch rate of the mandrel lines and the non-mandrel lines.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 30, 2023
    Inventors: Chi-Chun Liu, Ashim Dutta, Nelson Felix, Ekmini Anuja De Silva
  • Patent number: 11610780
    Abstract: A method for forming fins includes forming a three-color hardmask fin pattern on a fin base layer. The three-color hardmask fin pattern includes hardmask fins of three mutually selectively etchable compositions. Some of the fins of the first color are etched away with a selective etch that does not remove fins of a second color or a third color and that leaves at least one fin of the first color behind. The fins of the second color are etched away. Fins are etched into the fin base layer by anisotropically etching around remaining fins of the first color and fins of the third color.
    Type: Grant
    Filed: June 7, 2021
    Date of Patent: March 21, 2023
    Assignee: TESSERA LLC
    Inventors: Sean D. Burns, Nelson M. Felix, Chi-Chun Liu, Yann A. M. Mignot, Stuart A. Sieg
  • Patent number: 11600325
    Abstract: A resistance switching RAM logic device is presented. The device includes a pair of resistance switching RAM cells that may be independently programed into at least a low resistance state (LRS) or a high resistance state (HRS). The resistance switching RAM logic device may further include a shared output node electrically connected to the pair of resistance switching RAM cells. A logical output may be determined from the programmed resistance state of each of the resistance switching RAM cells.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: March 7, 2023
    Assignee: International Business Machines Corporation
    Inventors: Hsueh-Chung Chen, Mary Claire Silvestre, Soon-Cheon Seo, Chi-Chun Liu, Fee Li Lie, Chih-Chao Yang, Yann Mignot, Theodorus E. Standaert
  • Publication number: 20230063908
    Abstract: Structures are provided that include a metal-insulator-metal capacitor (MIMCAP) present in the back-end-of-the-line (BEOL). The MIMCAP includes at least one of the bottom electrode and the top electrode having a via portion and a base portion that is formed utilizing a subtractive via etch process. Less via over etching occurs resulting in improved critical dimension control of the bottom and/or top electrodes that are formed by the subtractive via etch process. No bottom liner is present in the MIMCAP thus improving the resistance/capacitance of the device. Also, and in some embodiments, a reduced foot-print area is possible to bring the via portion of the bottom electrode closer to the top electrode.
    Type: Application
    Filed: August 30, 2021
    Publication date: March 2, 2023
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Mary Claire Silvestre, Chi-Chun LIU
  • Publication number: 20220406657
    Abstract: A method of manufacturing a semiconductor device is provided. The method includes forming a plurality of metal lines on substrate, forming a sacrificial dielectric material layer between the metal lines, forming a hardmask over at least one of the metal lines, etching at least one of the metal lines that is not covered by the hardmask, treating the sacrificial dielectric material layer to soften the layer. The method also includes removing the treated sacrificial dielectric material layer.
    Type: Application
    Filed: June 18, 2021
    Publication date: December 22, 2022
    Inventors: SOMNATH GHOSH, Karen Elizabeth Petrillo, Cody J. Murray, Ekmini Anuja De Silva, Chi-Chun LIU, Dominik METZLER, John Christopher Arnold
  • Patent number: 11501969
    Abstract: A method of making a semiconductor device includes depositing an oxide material on a patterned mask arranged on a substrate. The method further includes removing a portion of the oxide material such that the patterned mask is exposed. The method also includes removing the patterned mask such that the substrate is exposed between areas of remaining oxide material.
    Type: Grant
    Filed: January 22, 2019
    Date of Patent: November 15, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann Mignot, Yongan Xu, Ekmini Anuja De Silva, Ashim Dutta, Chi-Chun Liu
  • Publication number: 20220172776
    Abstract: A resistance switching RAM logic device is presented. The device includes a pair of resistance switching RAM cells that may be independently programed into at least a low resistance state (LRS) or a high resistance state (HRS). The resistance switching RAM logic device may further include a shared output node electrically connected to the pair of resistance switching RAM cells. A logical output may be determined from the programmed resistance state of each of the resistance switching RAM cells.
    Type: Application
    Filed: December 2, 2020
    Publication date: June 2, 2022
    Inventors: Hsueh-Chung Chen, Mary Claire Silvestre, Soon-Cheon Seo, Chi-Chun LIU, FEE LI LIE, Chih-Chao Yang, Yann Mignot, Theodorus E. Standaert
  • Patent number: 11329001
    Abstract: A security region is provided. The security region includes a plurality of parallel conductive lines on a substrate, wherein each of the parallel conductive lines has a width and includes a bend, and wherein at least a portion of the plurality of parallel conductive lines is discontinuous, and an electrically insulating material between each adjacent pair of parallel conductive lines.
    Type: Grant
    Filed: May 15, 2019
    Date of Patent: May 10, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Chi-Chun Liu