Patents by Inventor Ellie Y. Yieh

Ellie Y. Yieh has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20190326147
    Abstract: Embodiments of a multi-cassette carrying case are provided herein. In some embodiments a method for transporting a substrate from a first processing device to a second processing device includes docking a substrate cassette to a first chamber; pumping down pressure in the substrate cassette; transferring a substrate through the first chamber to the substrate cassette; sealing the substrate cassette and moving the substrate cassette having the substrate disposed therein from the first chamber to a second chamber; docking the substrate cassette to the second chamber; and transferring the substrate from the substrate cassette through the second chamber.
    Type: Application
    Filed: June 5, 2019
    Publication date: October 24, 2019
    Inventors: SRISKANTHARAJAH THIRUNAVUKARASU, ENG SHENG PEH, SRINIVAS D. NEMANI, ARVIND SUNDARRAJAN, AVINASH AVULA, ELLIE Y. YIEH, MICHAEL RICE, GINETTO ADDIEGO
  • Publication number: 20190326146
    Abstract: Embodiments of substrate transfer apparatus are provided herein. In some embodiments, an apparatus for storing and transporting at least one substrate in a vacuum includes a carrying case for storing one or more substrates, wherein the carrying case includes a vacuum port and a plurality of holders to hold one or more substrates within an inner volume of the carrying case; and a vacuum source in fluid connection with the carrying case via the vacuum port.
    Type: Application
    Filed: June 5, 2019
    Publication date: October 24, 2019
    Inventors: SRISKANTHARAJAH THIRUNAVUKARASU, ENG SHENG PEH, SRINIVAS D. NEMANI, ARVIND SUNDARRAJAN, AVINASH AVULA, ELLIE Y. YIEH
  • Publication number: 20190311896
    Abstract: A method for forming a thermally stable spacer layer is disclosed. The method includes first disposing a substrate in an internal volume of a processing chamber. The substrate has a film formed thereon, the film including silicon, carbon, nitrogen, and hydrogen. Next, high pressure steam is introduced into the processing chamber. The film is exposed to the high pressure steam to convert the film to reacted film, the reacted film including silicon, carbon, oxygen, and hydrogen.
    Type: Application
    Filed: March 15, 2019
    Publication date: October 10, 2019
    Inventors: Mihaela BALSEANU, Srinivas D. NEMANI, Mei-Yee SHEK, Ellie Y. YIEH
  • Patent number: 10429747
    Abstract: Embodiments disclosed herein relate to methods and systems for correcting overlay errors on a surface of a substrate. A processor performs a measurement process on a substrate to obtain an overlay error map. The processor determines an order of treatment for the substrate based on the overlay error map. The order of treatment includes one or more treatment processes. The processor generates a process recipe for a treatment process of the one or more treatment processes in the order of treatment. The processor provides the process recipe to a substrate treatment apparatus.
    Type: Grant
    Filed: November 13, 2017
    Date of Patent: October 1, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mangesh Bangar, Srinivas D. Nemani, Steve G. Ghanayem, Ellie Y. Yieh
  • Publication number: 20190279879
    Abstract: The present disclosure provides methods for performing an annealing process on a metal containing layer in TFT display applications, semiconductor or memory applications. In one example, a method of forming a metal containing layer on a substrate includes supplying an oxygen containing gas mixture on a substrate in a processing chamber, the substrate comprising a metal containing layer disposed on an optically transparent substrate, maintaining the oxygen containing gas mixture in the processing chamber at a process pressure between about 2 bar and about 50 bar, and thermally annealing the metal containing layer in the presence of the oxygen containing gas mixture.
    Type: Application
    Filed: January 30, 2019
    Publication date: September 12, 2019
    Inventors: Kaushal K. SINGH, Mei-Yee SHEK, Srinivas D. NEMANI, Ellie Y. YIEH
  • Publication number: 20190258153
    Abstract: The present disclosure provides methods for forming a material layer in a film stack for manufacturing a photomask in EUV applications and phase shift and binary photomask applications. In one example, a method for forming a dielectric material on a substrate includes supplying an oxygen containing gas mixture on a substrate in a processing chamber, the substrate comprising a dielectric material disposed on an optically transparent silicon containing material, maintaining the oxygen containing gas mixture in the processing chamber at a process pressure at greater than 2 bar, and thermally treating the dielectric material in the presence of the oxygen containing gas mixture.
    Type: Application
    Filed: January 30, 2019
    Publication date: August 22, 2019
    Inventors: Srinivas D. NEMANI, Ellie Y. YIEH, Mei-Yee SHEK
  • Publication number: 20190259625
    Abstract: Methods for depositing a gapfill dielectric film that may be utilized for multi-colored patterning processes are provided. In one implementation, a method for processing a substrate is provided. The method comprises filling the one or more features of a substrate with a dielectric material. The dielectric material is a doped silicate glass selected from borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), and borosilicate glass (BSG). The method further comprises treating the substrate with a high-pressure anneal in the presence of an oxidizer to heal seams within the dielectric material. The high-pressure anneal comprises supplying an oxygen-containing gas mixture on a substrate in a processing chamber, maintaining the oxygen-containing gas mixture in the processing chamber at a process pressure at greater than 2 bar and thermally annealing the dielectric material in the presence of the oxygen-containing gas mixture.
    Type: Application
    Filed: February 19, 2019
    Publication date: August 22, 2019
    Inventors: Srinivas D. NEMANI, Ellie Y. YIEH, Chentsau YING
  • Patent number: 10347516
    Abstract: Embodiments of substrate transfer chambers are provided herein. In some embodiments, a substrate transfer chamber includes a body having an interior volume, wherein a bottom portion of the body includes a first opening; an adapter plate coupled to the bottom portion of the body to couple the substrate transfer chamber to a load lock chamber of a substrate processing system; wherein the adapter plate includes a second opening aligned with the first opening to fluidly couple the interior volume with an inner volume of the load lock chamber; a cassette support disposed in the interior volume to support a substrate cassette; and a lift actuator coupled to the cassette support to lower or raise the substrate cassette into or out of the load lock chamber.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: July 9, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sriskantharajah Thirunavukarasu, Eng Sheng Peh, Srinivas D. Nemani, Arvind Sundarrajan, Avinash Avula, Ellie Y. Yieh
  • Publication number: 20190194805
    Abstract: A process chamber is provided including a sidewall, a substrate support, and an exhaust vent disposed above the substrate support. A processing region is formed between the exhaust vent and substrate support, and the exhaust vent is coupled to an exhaust device configured to create a low pressure at the exhaust vent relative to the processing region. The process chamber further includes a gas ring including an annular shaped body having an inner surface that circumscribes an annular region. The gas ring further includes a plurality of first nozzles that are coupled to a first gas source and configured to deliver a first gas to the processing region. The gas ring further includes a plurality of second nozzles that are coupled to a second gas source and configured to deliver a second gas to the processing region.
    Type: Application
    Filed: March 1, 2019
    Publication date: June 27, 2019
    Inventors: Qiwei LIANG, Srinivas D. NEMANI, Ellie Y. YIEH
  • Patent number: 10297441
    Abstract: Methods of the disclosure include a BN ALD process at low temperatures using a reactive nitrogen precursor, such as thermal N2H4, and a boron containing precursor, which allows for the deposition of ultra thin (less than 5 nm) films with precise thickness and composition control. Methods are self-limiting and provide saturating atomic layer deposition (ALD) of a boron nitride (BN) layer on various semiconductors and metallic substrates.
    Type: Grant
    Filed: August 10, 2017
    Date of Patent: May 21, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Steven Wolf, Mary Edmonds, Andrew C. Kummel, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20190148178
    Abstract: A high-pressure processing system for processing a layer on a substrate includes a first chamber, a support to hold the substrate in the first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to increase the pressure within the first chamber to at least 10 atmospheres while the first chamber is isolated from the second chamber, an exhaust system comprising an exhaust line to remove gas from the first chamber, and a common housing surrounding both the first gas delivery module and the second gas delivery module.
    Type: Application
    Filed: November 7, 2018
    Publication date: May 16, 2019
    Inventors: Qiwei LIANG, Srinivas D. NEMANI, Sean S. KANG, Adib KHAN, Ellie Y. YIEH
  • Patent number: 10269571
    Abstract: The present disclosure provide methods for forming nanowire structures with desired materials horizontal gate-all-around (hGAA) structures field effect transistor (FET) for semiconductor chips. In one example, a method of forming nanowire structures on a substrate includes supplying an oxygen containing gas mixture to a multi-material layer on a substrate in a processing chamber, wherein the multi-material layer includes repeating pairs of a first layer and a second layer, the first and the second layers having a first group and a second group of sidewalls respectively exposed through openings defined in the multi-material layer, maintaining a process pressure at greater than 5 bar, and selectively forming an oxidation layer on the second group of sidewalls in the second layer.
    Type: Grant
    Filed: July 12, 2017
    Date of Patent: April 23, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Keith Tatseun Wong, Shiyu Sun, Sean S. Kang, Nam Sung Kim, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20190103278
    Abstract: Embodiments of the disclosure relate to selective metal silicide deposition methods. In one embodiment, a substrate having a silicon containing surface is heated and the silicon containing surface is hydrogen terminated. The substrate is exposed to sequential cycles of a MoF6 precursor and a Si2H6 precursor which is followed by an additional Si2H6 overdose exposure to selectively deposit a MoSix material comprising MoSi2 on the silicon containing surface of the substrate. Methods described herein also provide for selective native oxide removal which enables removal of native oxide material without etching bulk oxide materials.
    Type: Application
    Filed: November 13, 2018
    Publication date: April 4, 2019
    Inventors: Raymond HUNG, Namsung KIM, Srinivas D. NEMANI, Ellie Y. YIEH, Jong CHOI, Christopher AHLES, Andrew KUMMEL
  • Patent number: 10240232
    Abstract: A process chamber is provided including a sidewall, a substrate support, and an exhaust vent disposed above the substrate support. A processing region is formed between the exhaust vent and substrate support, and the exhaust vent is coupled to an exhaust device configured to create a low pressure at the exhaust vent relative to the processing region. The process chamber further includes a gas ring including an annular shaped body having an inner surface that circumscribes an annular region. The gas ring further includes a plurality of first nozzles that are coupled to a first gas source and configured to deliver a first gas to the processing region. The gas ring further includes a plurality of second nozzles that are coupled to a second gas source and configured to deliver a second gas to the processing region.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: March 26, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Qiwei Liang, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20190051557
    Abstract: Aspects of the disclosure include methods of treating a substrate to remove one or more of voids, seams, and grain boundaries from interconnects formed on the substrate. The method includes heating the substrate in an environment pressurized at supra-atmospheric pressure. In one example, the substrate may be heated in a hydrogen-containing atmosphere.
    Type: Application
    Filed: August 13, 2018
    Publication date: February 14, 2019
    Inventors: Bencherki MEBARKI, Sean S. KANG, Keith Tatseun WONG, He REN, Mehul B. NAIK, Ellie Y. YIEH, Srinivas D. NEMANI
  • Publication number: 20190019681
    Abstract: The present disclosure provide methods for forming nanowire structures with desired materials horizontal gate-all-around (hGAA) structures field effect transistor (FET) for semiconductor chips. In one example, a method of forming nanowire structures on a substrate includes supplying an oxygen containing gas mixture to a multi-material layer on a substrate in a processing chamber, wherein the multi-material layer includes repeating pairs of a first layer and a second layer, the first and the second layers having a first group and a second group of sidewalls respectively exposed through openings defined in the multi-material layer, maintaining a process pressure at greater than 5 bar, and selectively forming an oxidation layer on the second group of sidewalls in the second layer.
    Type: Application
    Filed: July 12, 2017
    Publication date: January 17, 2019
    Inventors: Keith Tatseun WONG, Shiyu SUN, Sean S. KANG, Nam Sung KIM, Srinivas D. NEMANI, Ellie Y. YIEH
  • Publication number: 20190013197
    Abstract: Methods for depositing silicon nitride films with higher nitrogen content are described. Certain methods comprise exposing a substrate to a silicon-nitrogen precursor and ammonia plasma to form a flowable polymer, and then curing the polymer to form a silicon nitride film. Certain methods cure the flowable polymer without the use of a UV-cure process. Also described is the film generated by the methods described above.
    Type: Application
    Filed: July 5, 2018
    Publication date: January 10, 2019
    Inventors: Atashi Basu, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10153187
    Abstract: Embodiments method and apparatus for transferring a substrate are provided herein. In some embodiments, a substrate cassette includes a body having an upper portion and a lower portion, the upper portion and the lower portion defining an interior volume when the upper portion is coupled to the lower portion; a locking mechanism moveable between a locked position, in which the upper and lower portions are coupled, and an unlocked position, in which the lower portion can be separated from the upper portion; and a load distribution plate coupled to an upper surface of the upper portion along an edge of the upper portion to distribute a load applied to the load distribution plate.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: December 11, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sriskantharajah Thirunavukarasu, Eng Sheng Peh, Srinivas D. Nemani, Arvind Sundarrajan, Avinash Avula, Ellie Y. Yieh
  • Publication number: 20180342396
    Abstract: Methods and systems relating to processes for treating a tungsten film on a workpiece including supporting the workpiece in a chamber, introducing hydrogen gas into the chamber and establishing a pressure of at least 5 atmospheres, and exposing the tungsten film on the workpiece to the hydrogen gas while the pressure in the chamber is at least 5 atmospheres.
    Type: Application
    Filed: May 25, 2017
    Publication date: November 29, 2018
    Inventors: Keith Tatseun Wong, Thomas Jongwan Kwon, Sean Kang, Ellie Y. Yieh
  • Publication number: 20180342384
    Abstract: Methods and systems relating to processes for treating a silicon nitride film on a workpiece including supporting the workpiece in a chamber, introducing an amine gas into the chamber and establishing a pressure of at least 5 atmospheres, and exposing the silicon nitride film on the workpiece to the amine gas while the pressure in the chamber is at least 5 atmospheres.
    Type: Application
    Filed: May 25, 2017
    Publication date: November 29, 2018
    Inventors: Keith Tatseun Wong, Sean Kang, Srinivas D. Nemani, Ellie Y. Yieh