Patents by Inventor KLA-Tencor Corporation

KLA-Tencor Corporation has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20160210526
    Abstract: The disclosure is directed to providing visual feedback for inspection algorithms and difference filters used to process test and reference images from an inspection system. A user interface may be configured for displaying information and accepting user commands. A computing system communicatively coupled to the user interface may be configured to receive at least one set of test and reference images collected by the inspection system. The computing system may be further configured to provide at least one visual representation of the test and reference images via the user interface to show effects of an inspection algorithm and/or difference filter.
    Type: Application
    Filed: November 27, 2012
    Publication date: July 21, 2016
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140313516
    Abstract: A calibration wafer and a method for calibrating an interferometer system are disclosed. The calibration method includes: determining locations of the holes defined in the calibration wafer based on two opposite intensity frame; comparing the locations of the holes against the locations measured utilizing an external measurement device; adjusting a first optical magnification or a second optical magnification at least partially based on the comparison result; defining a distortion map for each of the first and second intensity frames based on the comparison of the locations of the holes; generating an extended distortion map for each of the first and second intensity frames by map fitting the distortion map; and utilizing the extended distortion map for each of the first and second intensity frames to reduce at least one of: a registration error or an optical distortion in a subsequent measurement process.
    Type: Application
    Filed: April 17, 2013
    Publication date: October 23, 2014
    Applicant: KLA-Tencor Corporation
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140268117
    Abstract: A computer-based method for inspecting a wafer, including: storing, in a memory element for at least one computer, computer readable instructions; detecting a first light beam rotating in a first spiral about a first central axis; and executing, using a processor for the at least one computer, the computer readable instructions to generate, using the detected first light beam, an image including at least one shape, determine an orientation of the at least one shape or a size of the at least one shape, and calculate a depth of a defect in the wafer according to the orientation or the size.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140264051
    Abstract: An apparatus for inspecting a photomask, comprising an illumination source for generating a light which illuminates a target substrate, objective optics for receiving and projecting the light which is reflected from the target substrate, the objective optics includes a first mirror arranged to receive and reflect the light which is reflected from the target substrate, a second mirror which is arranged to receive and reflect the light which is reflected by the first mirror, a third mirror which is arranged to receive and reflect the light which is reflected by the second mirror, and a segmented mirror which is arranged to receive and reflect the light which is reflected by the third mirror. The segmented mirror includes at least two mirror segments. The apparatus further includes at least one sensor for detecting the light which is projected by the objective optics.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140268118
    Abstract: The disclosure is directed to a system and method for inspecting a spinning sample by substantially simultaneously scanning multiple spots on a surface of the sample utilizing a plurality of illumination beams. Portions of illumination reflected, scattered, or radiated from respective spots on the surface of the sample are collected by at least one detector array. Information associated with at least one defect of the sample is determined by at least one computing system in communication with the detector array. According to various embodiments, at least one of scan pitch, spot size, spot separation, and spin rate is controlled to compensate pitch error due to tangential spot separation.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140270474
    Abstract: Methods and systems for detecting defects on a wafer are provided. One method includes determining difference values for pixels in first output for a wafer generated using a first optics mode of an inspection system and determining other values for pixels in second output for the wafer generated using a second optics mode of the inspection system. The first and second optics modes are different from each other. The method also includes generating a two-dimensional scatter plot of the difference values and the other values for the pixels in the first and second output corresponding to substantially the same locations on the wafer. The method further includes detecting defects on the wafer based on the two-dimensional scatter plot.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140270469
    Abstract: The present invention may include acquiring a plurality of reference measurement images from a plurality of reference overlay target sites of a wafer via a reference image sampling process, wherein the reference image sampling process includes acquiring one or more images at each of a plurality of reference overlay target sites of the at least one wafer, generating a reference image by combining the plurality of reference measurement images acquired from the plurality of reference overlay target sites of the wafer of the reference image sampling process; acquiring one or more measurement images from an overlay target site of the wafer via a measurement image sampling process and measuring a virtual overlay of the one or more measurement images by comparing the one or more measurement images acquired from the overlay target site of the wafer to the generated reference image.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140270475
    Abstract: Systems and methods for detecting defects on a wafer are provided. One method includes generating output for a wafer by scanning the wafer with a dark field inspection system. The method also includes generating first image data for the wafer using the output and a first cell size and second image data for the wafer using the output and a second cell size. In addition, the method includes combining the first image data and the second image data corresponding to substantially the same locations on the wafer thereby creating additional image data for the wafer. The method further includes detecting defects on the wafer using the additional image data.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 18, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140260640
    Abstract: A method of scanning a sample includes simultaneously forming a plurality of co-linear scans. Each scan is formed by a sweep of a spot by an acousto-optical device (AOD). The co-linear scans are separated by a predetermined spacing. A first plurality of swaths are formed by repeating the simultaneous forming of the plurality of co-linear scans in a direction perpendicular to the co-linear scans. The first plurality of swaths have an inter-swath spacing that is the same as the predetermined spacing. A second plurality of swaths can be formed adjacent to the first plurality of swaths. Forming the second plurality of swaths can be performed in an opposite direction to that of the first plurality of swaths or in a same direction. An inspection system can implement this method by including a diffractive optical element (DOE) path after a magnification changer.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: KLA-Tencor Corporation Drive
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140253910
    Abstract: The disclosure is directed to a system and method for reviewing a curved edge of a sample. A line scan detector is actuated along an actuation path defined by the edge of the sample to scan a plurality of locations along the sample edge. The scan data is assembled to generate at least one review image of at least a portion of the edge of the sample. In some embodiments a substantially normal angle of incidence is maintained between the sample edge and the scanning illumination. In some embodiments, brightfield and darkfield images may be collected utilizing a common objective with separately operable illumination sources directing illumination along a first and second illumination path to the sample edge for review.
    Type: Application
    Filed: March 7, 2013
    Publication date: September 11, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140240951
    Abstract: The disclosure is directed to systems for providing illumination to a measurement head for optical metrology. In some embodiments of the disclosure, illumination beams from a plurality of illumination sources are combined to deliver illumination at one or more selected wavelengths to the measurement head. In some embodiments of the disclosure, intensity and/or spatial coherence of illumination delivered to the measurement head is controlled. In some embodiments of the disclosure, illumination at one or more selected wavelengths is delivered from a broadband illumination source configured for providing illumination at a continuous range of wavelengths.
    Type: Application
    Filed: February 22, 2013
    Publication date: August 28, 2014
    Applicant: KLA-Tencor Corporation
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140199618
    Abstract: One embodiment relates to a method of measuring overlay errors for a programmable pattern, area-imaging electron beam lithography apparatus. Patterned cells of an overlay measurement target array may be printed in swaths such that they are superposed on patterned cells of a first (base) array. In addition, the overlay array may have controlled-exposure areas distributed within the swaths. The superposed cells of the overlay and base arrays are imaged. The overlay errors are then measured based on distortions between the two arrays in the image data. Alternatively, non-imaging methods, such as using scatterometry, may be used. Another embodiment relates to a method for correcting overlay errors for an electron beam lithography apparatus. Overlay errors for a pattern to be printed are determined based on within-swath exposure conditions. The pattern is then pre-distorted to compensate for the overlay errors. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: April 30, 2013
    Publication date: July 17, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140195992
    Abstract: Systems and methods for determining a position of output of an inspection system in design data space are provided. One method includes merging more than one feature in design data for a wafer into a single feature that has a periphery that encompasses all of the features that are merged. The method also includes storing information for the single feature without the design data for the features that are merged. The information includes a position of the single feature in design data space. The method further includes aligning output of an inspection system for the wafer to the information for the single feature such that positions of the output in the design data space can be determined based on the design data space position of the single feature.
    Type: Application
    Filed: March 14, 2013
    Publication date: July 10, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140193065
    Abstract: Various embodiments for detecting defects on a wafer are provided. Some embodiments include matching a template image, in which at least some pixels are associated with regions in the device having different characteristics, to output of an electron beam inspection system and applying defect detection parameters to pixels in the output based on the regions that the pixels in the output are located within to thereby detect defects on the wafer.
    Type: Application
    Filed: January 9, 2013
    Publication date: July 10, 2014
    Applicants: KLA-TENCOR CORPORATION
    Inventors: KLA-TENCOR CORPORATION, Carmela Moreno
  • Publication number: 20140185919
    Abstract: Methods and systems for detecting defects on a wafer are provided. One method includes identifying one or more characteristics of first raw output generated for a wafer that correspond to one or more geometrical characteristics of patterned features formed on the wafer and assigning individual output in second raw output generated for the wafer to different segments based on the identified one or more characteristics of the first raw output and based on the individual output in the second raw output and individual output in the first raw output that were generated at substantially the same locations on the wafer such that the one or more geometrical characteristics of the patterned features that correspond to each of the different segments in the second raw output are different.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 3, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140168774
    Abstract: A system which may be used to generate a plurality of spots on a surface is provided. The spots may be aligned with the incident plane of oblique illumination. The system may include a diffractive optical element configured to split a beam into a plurality of beams by generating a plurality of diffraction orders. The system may also include a focusing lens configured to focus at least some of the plurality of beams on the surface in the plurality of spots. At least some of the plurality of beams may be focused on the surface at an oblique illumination angle. The system may also include an illumination source positioned off-axis relative to an optical axis of the diffractive optical element. Using the system, a plurality of spots may be generated on an inclined surface.
    Type: Application
    Filed: December 19, 2012
    Publication date: June 19, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Publication number: 20140168758
    Abstract: A mirror for reflecting extreme ultraviolet light (EUV) comprising: a substrate layer; and an upper layer above the substrate layer, that reflects EUV wavelengths and refracts longer wavelengths, said upper layer being dense and hard carbon having an Sp2 to Sp3 carbon bond ratio of 0 to about 3 and a normal incidence EUV mirror comprising an optical coating on an uppermost surface which permits transmission of EUV and protects the surface from environmental degradation, said coating being dense and hard and having an Sp2 carbon bond ratio of 0 to about 3 and a thickness of 0.1 to about 5 nanometers. The invention also includes EUV mirror systems protected by a dense carbon layer and includes a multilayer EUV reflecting system having an out of band absorbing layer.
    Type: Application
    Filed: March 15, 2013
    Publication date: June 19, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140151551
    Abstract: One embodiment relates to a method of measuring a relative critical dimension (RCD) during electron beam inspection of a target substrate. A reference image is obtained. A region of interest is defined in the reference image. A target image is obtained using an electron beam imaging apparatus. The target and reference images are aligned, and the region of interest is located in the target image. Measurement is then made of the RCD within the region of interest in the target image. Another embodiment relates to a method of measuring a RCD which involves scanning along a scan length that is perpendicular to the RCD. Point RCDs along the scan length are measured. A filter is applied to the point RCDs, and an average of the point RCDs is computed. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: February 21, 2013
    Publication date: June 5, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140151552
    Abstract: One embodiment relates to a tilt-imaging scanning electron microscope apparatus. The apparatus includes an electron gun, first and second deflectors, an objective electron lens, and a secondary electron detector. The first deflector deflects the electron beam away from the optical axis, and the second deflector deflects the electron beam back towards the optical axis. The objective lens focuses the electron beam onto a spot on a surface of a target substrate, wherein the electron beam lands on the surface at a tilt angle. Another embodiment relates to a method of imaging a surface of a target substrate using an electron beam with a trajectory tilted relative to a substrate surface. Other embodiments and features are also disclosed.
    Type: Application
    Filed: March 18, 2013
    Publication date: June 5, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation
  • Publication number: 20140139822
    Abstract: Multi-spectral defect inspection for 3D wafers is provided. One system configured to detect defects in one or more structures formed on a wafer includes an illumination subsystem configured to direct light in discrete spectral bands to the one or more structures formed on the wafer. At least some of the discrete spectral bands are in the near infrared (NIR) wavelength range. Each of the discrete spectral bands has a bandpass that is less than 100 nm. The system also includes a detection subsystem configured to generate output responsive to light in the discrete spectral bands reflected from the one or more structures. In addition, the system includes a computer subsystem configured to detect defects in the one or more structures on the wafer using the output.
    Type: Application
    Filed: January 15, 2013
    Publication date: May 22, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-Tencor Corporation