Patents by Inventor Michael Wright

Michael Wright has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 10684859
    Abstract: Providing memory dependence prediction in block-atomic dataflow architectures is provided, in one aspect, la a memory dependence prediction circuit. The memory dependence prediction circuit comprises a predictor table configured to store multiple predictor table entries, each comprising a store instruction identifier, a block reach set, and a load set. Using this data, the memory dependence prediction circuit determines, upon a fetch of an instruction block by an execution pipeline, whether the instruction block contains store instructions that reach dependent load instructions. If so, the store instructions are marked as having dependent load instructions to wake. In some aspects, the memory dependence prediction circuit is configured to determine whether the instruction block contains dependent load instructions reached by store instructions. If so, the memory dependence prediction circuit delays execution of the dependent load instructions.
    Type: Grant
    Filed: September 19, 2016
    Date of Patent: June 16, 2020
    Assignee: QUALCOMM Incorporated
    Inventors: Chen-Han Ho, Gregory Michael Wright
  • Patent number: 10651610
    Abstract: An electrical connector assembly (1), comprising a housing (2) and an electrical connector receptacle (3) arranged to receive a complementary electrical connector, the housing arranged to be mounted to a support structure, the electrical connector receptacle arranged to be removably received within the housing, the electrical connector receptacle comprises a tongue (30) with a plurality of electrical contacts (31) arranged to connect with electrical contacts of the complementary electrical connector.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: May 12, 2020
    Assignee: IFPL GROUP LIMITED
    Inventors: John Michael Wright, Geoffrey Paul Underwood
  • Patent number: 10652745
    Abstract: The present invention filters access points presented to a user and locks onto an access point and includes an access point filtering unit and an access point locking unit. The access point filtering unit determines the access points that are accessible by a client device and then filters them to present only the access points that are acceptable to under a security policy in force. The access point locking unit has a plurality of operating modes and can lock onto a user selected access point, a security policy prescribed access point, or the access point with the best signal profile. The present invention also includes a method for filtering access points and a method for locking onto an access point that is selected by the user, that has the best signal profile, or that is prescribed by a security policy for a given location.
    Type: Grant
    Filed: January 8, 2016
    Date of Patent: May 12, 2020
    Assignee: Apple Inc.
    Inventors: Brent Beachem, Peter Boucher, Gabe Nault, Richard Rollins, Jonathan Brett Wood, Michael Wright
  • Patent number: 10628162
    Abstract: Enabling parallel memory accesses by providing explicit affine instructions in vector-processor-based devices is disclosed. In this regard, a vector-processor-based device implementing a block-based dataflow instruction set architecture (ISA) includes a decoder circuit configured to provide an affine instruction that specifies a base parameter indicating a base value B, a stride parameter indicating a stride interval value S, and a count parameter indicating a count value C. The decoder circuit of the vector-processor-based device decodes the affine instruction, and generates an output stream comprising one or more output values, wherein a count of the output values of the output stream equals the count value C. Using an index X where 0?X<C, each Xth output value in the output stream is generated as a sum of the base value B and a product of the stride interval value S and the index X.
    Type: Grant
    Filed: June 19, 2018
    Date of Patent: April 21, 2020
    Assignee: Qualcomm Incorporated
    Inventors: Amrit Panda, Eric Rotenberg, Hadi Parandeh Afshar, Gregory Michael Wright
  • Publication number: 20200113650
    Abstract: A prescription management system is used by an orthodontic or dental lab and a plurality of prescribing users who send prescriptions for customized orthodontic or dental appliances to the lab. The prescriptions are stored in a database selectively accessible by the lab and plurality of prescribing users. A digital workspace is provided in the system in which the lab or prescribing users may create designs for the customized appliances. The designs of the appliances are stored in the database. A tracking record of fabrication of the appliances is stored in the database. A plurality of billings are simultaneously generated in response to the submissions and storage of the prescriptions, the designs of the customized appliances and the fabrication of the designed customized appliances.
    Type: Application
    Filed: December 12, 2019
    Publication date: April 16, 2020
    Inventors: Marc Lemchen, Jim Wright, Michael Wright, Todd Blankenbecler
  • Publication number: 20200104163
    Abstract: Providing predictive instruction dispatch throttling to prevent resource overflow in out-of-order processor (OOP)-based devices is disclosed. In this regard, an OOP-based device includes a system resource that may be consumed or otherwise occupied by instructions, as well as an execution pipeline comprising a decode stage and a dispatch stage. The OOP further maintains a running count and a resource usage threshold. Upon receiving an instruction block, the decode stage extracts a proxy value that indicates an approximate predicted count of instructions within the instruction block that will consume a system resource. The decode stage then increments the running count by the proxy value. The dispatch stage compares the running count to the resource usage threshold before dispatching any younger instruction blocks. If the running count exceeds the resource usage threshold, the dispatch stage blocks dispatching of younger instruction blocks until the running count no longer exceeds the resource usage threshold.
    Type: Application
    Filed: September 27, 2018
    Publication date: April 2, 2020
    Inventors: Lisa Ru-feng Hsu, Vignyan Reddy Kothinti Naresh, Gregory Michael Wright
  • Publication number: 20200093435
    Abstract: Disclosed is a smart, physiology monitoring mouthguard (SPMM) system and method for capturing various physiological data of athletes in real-time for health monitoring. The system is configured to provide real-time and/or recorded physiological data of an athlete to a user, and may be configured to record physiological data of the athlete for later retrieval using sensors and communication systems. Sensors may be chosen based on the anticipated activity or sport, the health and age of the athlete, and size and power requirements of the device. Sensors may include accelerometers, gyroscopes, thermometers, hydrometers, pH, electrolyte (e.g., potassium, sodium, etc.) sensors, and the like. These and other sensors may be configured to measure different physiological data of the athlete, including impact, body temperature, hydration, illness, heart rate, and the like. In some embodiments, sensors on the mouthguard are integrated with external sensors (e.g.
    Type: Application
    Filed: September 25, 2019
    Publication date: March 26, 2020
    Inventors: Radi Masri, James Edward Whitney, II, Michael Wright
  • Publication number: 20200065098
    Abstract: Providing efficient handling of branch divergence in vectorizable loops by vector-processor-based devices is disclosed. In some aspects, a vector-processor-based device provides a plurality of processing elements (PEs) coupled to a scheduler circuit comprising a clock cycle threshold and a mask register comprising a plurality of bits corresponding to a plurality of loop iterations of a vectorizable loop to be executed. The scheduler circuit initiates a first execution interval, during which loop iterations of the vectorizable loop are assigned to PEs for parallel execution. If a loop iteration's execution time exceeds the clock cycle threshold, the scheduler circuit sets a mask register bit corresponding to the loop iteration indicating that the loop iteration is incomplete, and defers its execution.
    Type: Application
    Filed: August 21, 2018
    Publication date: February 27, 2020
    Inventors: Hadi Parandeh Afshar, Eric Rotenberg, Gregory Michael Wright
  • Patent number: 10548691
    Abstract: A prescription management system is used by an orthodontic or dental lab and a plurality of prescribing users who send prescriptions for customized orthodontic or dental appliances to the lab. The prescriptions are stored in a database selectively accessible by the lab and plurality of prescribing users. A digital workspace is provided in the system in which the lab or prescribing users may create designs for the customized appliances. The designs of the appliances are stored in the database. A tracking record of fabrication of the appliances is stored in the database. A plurality of billings are simultaneously generated in response to the submissions and storage of the prescriptions, the designs of the customized appliances and the fabrication of the designed customized appliances.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: February 4, 2020
    Assignee: EasyRx, LLC.
    Inventors: Marc Lemchen, Jim Wright, Michael Wright, Todd Blankenbecler
  • Publication number: 20200012618
    Abstract: Providing reconfigurable fusion of processing elements (PEs) in vector-processor-based devices is disclosed. In this regard, a vector-processor-based device provides a vector processor including a plurality of PEs and a decode/control circuit. The decode/control circuit receives an instruction block containing a vectorizable loop comprising a loop body. The decode/control circuit determines how many PEs of the plurality of PEs are required to execute the loop body, and reconfigures the plurality of PEs into one or more fused PEs, each including the determined number of PEs required to execute the loop body. The plurality of PEs, reconfigured into one or more fused PEs, then executes one or more loop iterations of the loop body. Some aspects further include a PE communications link interconnecting the plurality of PEs, to enable communications between PEs of a fused PE and communications of inter-iteration data dependencies between PEs without requiring vector register file access operations.
    Type: Application
    Filed: July 5, 2018
    Publication date: January 9, 2020
    Inventors: Hadi Parandeh Afshar, Amrit Panda, Eric Rotenberg, Gregory Michael Wright
  • Publication number: 20190380889
    Abstract: A flexible stretcher having skid plate webbing connected to a surface of the stretcher. The stretcher includes a flexible body with a first surface and a second surface. Skid plate webbing connects to the second surface. In another embodiment, the stretcher has a flexible body with a rectangular shape extending lengthwise in a longitudinal direction. The flexible body has a first surface and a second surface. Structural webbing and skid plate webbing connect to the second surface. The skid plate webbing at least partially overlaps the structural webbing, and where the overlapping occurs, contact points are formed. In another embodiment, a flexible stretcher having a body with a rectangular shape extending lengthwise in a longitudinal direction, a first surface, and a second surface, may be manufactured by attaching structural webbing to the body and attaching skid plate webbing to the second surface of the body.
    Type: Application
    Filed: June 14, 2018
    Publication date: December 19, 2019
    Applicant: Little Rapids Corporation
    Inventors: Gerald T. Paul, David M. Ledvina, Joe Pulvermacher, Michael Wright
  • Publication number: 20190384606
    Abstract: Enabling parallel memory accesses by providing explicit affine instructions in vector-processor-based devices is disclosed. In this regard, a vector-processor-based device implementing a block-based dataflow instruction set architecture (ISA) includes a decoder circuit configured to provide an affine instruction that specifies a base parameter indicating a base value B, a stride parameter indicating a stride interval value S, and a count parameter indicating a count value C. The decoder circuit of the vector-processor-based device decodes the affine instruction, and generates an output stream comprising one or more output values, wherein a count of the output values of the output stream equals the count value C. Using an index X where 0?X<C, each Xth output value in the output stream is generated as a sum of the base value B and a product of the stride interval value S and the index X.
    Type: Application
    Filed: June 19, 2018
    Publication date: December 19, 2019
    Inventors: Amrit Panda, Eric Rotenberg, Hadi Parandeh Afshar, Gregory Michael Wright
  • Publication number: 20190369994
    Abstract: Providing multi-element multi-vector (MEMV) register file access in vector-processor-based devices is disclosed. In this regard, a vector-processor-based device includes a vector processor comprising multiple processing elements (PEs) communicatively coupled via a corresponding plurality of channels to a vector register file comprising a plurality of memory banks. The vector processor provides a direct memory access (DMA) controller that is configured to receive a plurality of vectors that each comprise a plurality of vector elements representing operands for processing a loop iteration. The DMA controller arranges the vectors in the vector register file such that, for each group of vectors to be accessed in parallel, vector elements for each vector are stored consecutively, but corresponding vector elements of consecutive vectors are stored in different memory banks of the vector register file.
    Type: Application
    Filed: June 5, 2018
    Publication date: December 5, 2019
    Inventors: Hadi Parandeh Afshar, Amrit Panda, Eric Rotenberg, Gregory Michael Wright
  • Patent number: 10428477
    Abstract: A chute control assembly for a snow thrower having a housing, handle, and a chute includes a control mechanism, a connecting mechanism, and a guide mechanism. The control mechanism includes an actuator mechanism that allows an operator to manually control the orientation of the chute from a position spaced apart from the chute. The connecting mechanism transfers rotation of the actuator mechanism to the guide mechanism. The guide mechanism is attached to the chute and rotates and adjust the orientation of the chute in response to rotation of the actuator mechanism in order to change the direction that snow is thrown from the snow thrower.
    Type: Grant
    Filed: August 9, 2017
    Date of Patent: October 1, 2019
    Assignee: MTD PRODUCTS INC
    Inventors: Adam Hiller, Michael Wright, Keith Fortlage, Alan Dumitrescu
  • Publication number: 20190264404
    Abstract: A chute control assembly for a snow thrower having a housing, handle, and a chute includes a control mechanism, a connecting mechanism, and a guide mechanism. The control mechanism includes an actuator mechanism that allows an operator to manually control the orientation of the chute from a position spaced apart from the chute. The connecting mechanism transfers rotation of the actuator mechanism to the guide mechanism. The guide mechanism is attached to the chute and rotates and adjust the orientation of the chute in response to rotation of the actuator mechanism in order to change the direction that snow is thrown from the snow thrower.
    Type: Application
    Filed: May 16, 2019
    Publication date: August 29, 2019
    Inventors: Adam Hiller, Michael Wright, Keith Fortlage, Alan Dumitrescu
  • Publication number: 20190176229
    Abstract: A brake carrier casting and a method of making a brake carrier casting. The brake carrier casting may have a first side portion and a second side portion that may be connected by a first bridge and a second bridge. The first side portion, second side portion, first bridge, and second bridge may define an opening of the brake carrier casting.
    Type: Application
    Filed: November 26, 2018
    Publication date: June 13, 2019
    Applicant: Meritor Heavy Vehicle Braking Systems (UK) Limited
    Inventors: Paul Thomas, Simon Fisher, Michael Wright
  • Patent number: 10299891
    Abstract: A prescription management system is used by an orthodontic or dental lab and a plurality of prescribing users who send prescriptions for customized orthodontic or dental appliances to the lab. The prescriptions are stored in a database selectively accessible by the lab and plurality of prescribing users. A digital workspace is provided in the system in which the lab or prescribing users may create designs for the customized appliances. The designs of the appliances are stored in the database. A tracking record of fabrication of the appliances is stored in the database. A plurality of billings are simultaneously generated in response to the submissions and storage of the prescriptions, the designs of the customized appliances and the fabrication of the designed customized appliances.
    Type: Grant
    Filed: March 16, 2016
    Date of Patent: May 28, 2019
    Assignee: EasyRx, LLC.
    Inventors: Marc Lemchen, Jim Wright, Michael Wright, Todd Blankenbecler
  • Publication number: 20190153853
    Abstract: This invention provides for an apparatus that controls a liquid level down-hole of a hydrocarbon producing well by physically monitoring the liquid level down-hole having a down-hole liquid level measurer and a signal device connected to the liquid level measurer that causes a pump to adjust its current liquid output based on the liquid level down-hole as measured by the down-hole liquid level measurer.
    Type: Application
    Filed: May 8, 2017
    Publication date: May 23, 2019
    Applicant: CNX Gas Company LLC
    Inventors: Richard Michael Wright, Thomas C. Tonkins, Joseph M. Fink
  • Publication number: 20190130502
    Abstract: Various embodiments herein each include at least one of systems, devices, methods, and software that provides on-demand, in process custom visualization. For example, some such embodiments provide such solutions that enable customers to view an augmented reality presentation, which may be a three-dimensional or holographic view, of a product with any selected options at the discretion of the customer. One such embodiment in the form of a method includes presenting, via a visual output of an augmented reality device, a selectable menu of customizable items and customizable features thereof and receiving a selection of a customizable item and at least one customizable feature. This method further includes presenting, via the visual output of the augmented reality device, a view of the selected customizable item and each selected at least one customizable feature as the input is received.
    Type: Application
    Filed: October 30, 2017
    Publication date: May 2, 2019
    Inventors: Alexander Michael Heavner, Mehtab Chithiwala, Michael Robert Dunn, Travis Andrew Massey, Brandon Michael Wright, Laura Crosby
  • Publication number: 20190116962
    Abstract: A method is provided for preparing a hair dye color mixture. The method includes: accessing a control system having at least a processor, a memory, and user input controls, the memory configured to store ingredients of a hair dye color mixture, each ingredient of the hair dye color mixture being from an initial product brand; receiving user input from the user input controls to change one or more ingredients of the hair dye color mixture from the initial product brand to one or more subsequent product brands; matching the color of the hair dye color mixture made from one or more ingredients of the initial product brand using one or more ingredients of the one or more subsequent product brands, in response to the user input; and displaying the ingredients of the hair dye color mixture in the one or more subsequent product brands on a display.
    Type: Application
    Filed: December 20, 2018
    Publication date: April 25, 2019
    Inventors: Mitchell H. SARANOW, Michael WRIGHT